Đề tài Tìm hiểu các công nghệ CPU hiện đại

Tài liệu Đề tài Tìm hiểu các công nghệ CPU hiện đại: ĐẠI HỌC QUỐC GIA TP. HCM TRƯỜNG ĐẠI HỌC KHOA HỌC TỰ NHIÊN KHOA ĐIỆN TỬ VIỄN THÔNG ĐỀ TÀI : CÁC CÔNG NGHỆ CPU HIỆN ĐẠI GVHD: Th.S HUỲNH HỮU THUẬN SVTH: NGUYỄN CÔNG MINH 0520043 PHAN TRỌNG HIỂN 0520015 TP Hồ Chí Minh – Tháng 06/2009 Mục lục MỤC LỤC 2 I. TỔNG QUAN VỀ CPU (BỘ VI XỬ LÝ) 3 1. Khái niệm và cấu tạo. 3 2. So sánh CPU (bộ vi xử lý) và MCU (bộ vi điều khiển). 5 II. CÁC CÔNG NGHỆ CPU HIỆN ĐẠI 8 1. Intel CPU 8 2. AMD CPU 37 TÀI LIỆU THAM KHẢO 70 NHẬN XÉT CỦA GIẢNG VIÊN 71 I. TỔNG QUAN VỀ CPU (BỘ VI XỬ LÝ) 1.Khái niệm và cấu tạo 1.1 Khái niệm về CPU CPU viết tắt của chữ Central Processing Unit, tạm dịch là đơn vị xử lí trung tâm. CPU có thể được xem như não bộ, một trong những phần tử cốt lõi nhất của máy vi tính. Nhiệm vụ chính của CPU là nhận và thực thi các lệnh. CPU có nhiều kiểu dáng khác nhau. Ở hình thức đơn giản nhất, CPU là một con chip với vài chục chân. Phức tạp hơn, CPU được ráp sẵn trong các bộ mạch với hàng trăm con chip khác. 1.2 Các y...

doc72 trang | Chia sẻ: hunglv | Lượt xem: 2375 | Lượt tải: 2download
Bạn đang xem trước 20 trang mẫu tài liệu Đề tài Tìm hiểu các công nghệ CPU hiện đại, để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên
ĐẠI HỌC QUỐC GIA TP. HCM TRƯỜNG ĐẠI HỌC KHOA HỌC TỰ NHIÊN KHOA ĐIỆN TỬ VIỄN THÔNG ĐỀ TÀI : CÁC CÔNG NGHỆ CPU HIỆN ĐẠI GVHD: Th.S HUỲNH HỮU THUẬN SVTH: NGUYỄN CÔNG MINH 0520043 PHAN TRỌNG HIỂN 0520015 TP Hồ Chí Minh – Tháng 06/2009 Mục lục MỤC LỤC 2 I. TỔNG QUAN VỀ CPU (BỘ VI XỬ LÝ) 3 1. Khái niệm và cấu tạo. 3 2. So sánh CPU (bộ vi xử lý) và MCU (bộ vi điều khiển). 5 II. CÁC CÔNG NGHỆ CPU HIỆN ĐẠI 8 1. Intel CPU 8 2. AMD CPU 37 TÀI LIỆU THAM KHẢO 70 NHẬN XÉT CỦA GIẢNG VIÊN 71 I. TỔNG QUAN VỀ CPU (BỘ VI XỬ LÝ) 1.Khái niệm và cấu tạo 1.1 Khái niệm về CPU CPU viết tắt của chữ Central Processing Unit, tạm dịch là đơn vị xử lí trung tâm. CPU có thể được xem như não bộ, một trong những phần tử cốt lõi nhất của máy vi tính. Nhiệm vụ chính của CPU là nhận và thực thi các lệnh. CPU có nhiều kiểu dáng khác nhau. Ở hình thức đơn giản nhất, CPU là một con chip với vài chục chân. Phức tạp hơn, CPU được ráp sẵn trong các bộ mạch với hàng trăm con chip khác. 1.2 Các yếu tố tác động đến hiệu suất của CPU - Độ rộng Bus dữ liệu và Bus địa chỉ ( Data Bus và Address Bus ) - Tốc độ xử lý và tốc độ Bus ( tốc độ dữ liệu ra vào chân ) còn gọi là FSB - Dung lượng bộ nhớ đệm Cache Dưới đây là chi tiết về các yếu tố trên 1.2.1 Độ rộng Bus dữ liệu và Bus địa chỉ (Data Bus và Address Bus) Độ rộng Bus dữ liệu là nói tới số lượng đường truyền dữ liệu bên trong và bên ngoài CPU Như ví dụ hình dưới đây thì CPU có 12 đường truyền dữ liệu ( ta gọi độ rộng Data Bus là 12 bit ), hiện nay trong các CPU từ Pentium 2 đến Pentium 4 đều có độ rộng Data Bus là 64 bit . Tương tự như vậy thì độ rộng Bus địa chỉ ( Add Bus ) cũng là số đường dây truyền các thông tin về địa chỉ. Địa chỉ ở đây có thể là các địa chỉ của bộ nhớ RAM, địa chỉ các cổng vào ra và các thiết bị ngoại vi v v .. để có thể gửi hoặc nhận dữ liệu từ các thiết bị này thì CPU phải có địa chỉ của nó và địa chỉ này được truyền đi qua các Bus địa chỉ. Giả sử : Nếu số đường địa chỉ là 8 đường thì CPU sẽ quản lý được 28 = 256 địa chỉ Hiện nay trong các CPU Pentium 4 có 64 bít địa chỉ và như vậy chúng quản lý được 264 địa chỉ nhớ . 1.2.2 Tốc độ xử lý và tốc độ Bus của CPU Tốc độ xử lý của CPU ( Speed ) : Là tốc độ chạy bên trong của CPU, tốc độ này được tính bằng MHz hoặc GHz. Thí dụ một CPU Pentium 3 có tốc độ 800MHz tức là nó dao động ở tần số 800.000.000 Hz , CPU pentium 4 có tốc độ là 2,4GHz tức là nó dao động ở tần số 2.400.000.000 Hz Tốc độ Bus của CPU ( FSB ) : Là tốc độ dữ liệu ra vào các chân của CPU - còn gọi là Bus phía trước : Front Site Bus ( FSB ) Thông thường tốc độ xử lý của CPU thường nhanh gấp nhiều lần tốc độ Bus của nó, dưới đây là thí dụ minh hoạ về hai tốc độ này : Minh hoạ về tốc độ xử lý ( Speed CPU ) và tốc độ Bus ( FSB ) của CPU 1.2.3 Bộ nhớ Cache ( Bộ nhớ đệm ) Bộ nhớ Cache là bộ nhớ nằm bên trong của CPU, nó có tốc độ truy cập dữ liệu theo kịp tốc độ xủa lý của CPU, điều này khiến cho CPU trong lúc xử lý không phải chờ dữ liệu từ RAM vì dữ liệu từ RAM phải đi qua Bus của hệ thống nên mất nhiều thời gian. Một dữ liệu trước khi được xử lý , thông qua các lệnh gợi ý của ngôn ngữ lập trình, dữ liệu được nạp sẵn lên bộ nhớ Cache, vì vậy khi xử lý đến, CPU không mất thời gian chờ đợi . Khi xử lý xong trong lúc đường truyền còn bận thì CPU lại đưa tạm kết quả vào bộ nhớ Cache, như vậy CPU không mất thời gian chờ đường truyền được giải phóng . Bộ nhớ Cache là giải pháp làm cho CPU có điều kiện hoạt động thường xuyên mà không phải ngắt quãng chờ dữ liệu, vì vậy nhờ có bộ nhớ Cache mà hiệu quả xử lý tăng lên rất nhiều, tuy nhiên bộ nhớ Cache được làm bằng Ram tĩnh do vậy giá thành của chúng rất cao . 1.3 Sơ đồ cấu tạo của CPU CPU có 3 khối chính đó là - ALU - Arithmetic Logic Unit (Đơn vị số học logic) : Khối này thực hiện các phép tính số học và logic cơ bản trên cơ sở các dữ liệu. - Control Unit : Khối này chuyên tạo ra các lệnh điều khiển như điều khiển ghi hay đọc ... - Registers (Các thanh ghi) : Nơi chứa các lệnh trước và sau khi xử lý. Sơ đồ cấu tạo bên trong CPU Nguyên lý hoạt động của CPU CPU hoạt động hoàn toàn phụ thuộc vào các mã lệnh , mã lệnh là tín hiệu số dạng 0,1 được dịch ra từ các câu lệnh lập trình ,như vậy CPU sẽ không làm gì cả nếu không có các câu lệnh hướng dẫn . Khi chúng ta chạy một chương trình thì các chỉ lệnh của chương trình đó được nạp lên bộ nhớ Ram, các chỉ lệnh này đã được dịch thành ngôn ngữ máy và thường trú trên các ngăn nhớ của Ram ở dạng 0,1. CPU sẽ đọc và làm theo các chỉ lệnh một cách lần lượt. Trong quá trình đọc và thực hiện các chỉ lệnh, các bộ giải mã sẽ giải mã các chỉ lệnh này thành các tín hiệu điều khiển. 2. So sánh CPU (bộ vi xử lý) và MCU (bộ vi điều khiển) 2.1 Từ CPU (bộ vi xử lý) Như phần trên đã trình bày, trong những thập niên cuối thế kỉ XX, từ sự ra đời của công nghệ bán dẫn, kĩ thuật điện tử đã có sự phát triển vượt bậc. Các thiết bị điện tử sau đó đã được tích hợp với mật độ cao và rất cao trong các diện tích nhỏ, nhờ vậy các thiết bị điện tử nhỏ hơn và nhiều chức năng hơn. Các thiết bị điện tử ngày càng nhiều chức năng trong khi giá thành ngày càng rẻ hơn, chính vì vậy điện tử có mặt khắp mọi nơi.         Bước đột phá mới trong công nghệ điện tử, công ty trẻ tuổi Intel cho ra đời bộ vi xử lý đầu tiên. Đột phá ở chỗ: "Đó là một kết cấu logic mà có thể thay đổi chức năng của nó bằng chương trình ngoài chứ không phát triển theo hướng tạo một cấu trúc phần cứng chỉ thực hiện theo một số chức năng nhất định như trước đây"(trích từ dòng 17 đến 19, trang 3, 'Kĩ thuật VI XỬ LÝ và lập trình ASSEMBLY cho hệ vi xử lý', tác giả Đỗ Xuân Tiến, nhà xuất bản Khoa học và kĩ thuật).  Tức là phần cứng chỉ đóng vai trò thứ yếu, phần mềm (chương trình) đóng vai trò chủ đạo đối với các chức năng cần thực hiện. Nhờ vậy vi xử lý có sự mềm dẻo hóa trong các chức năng của mình. Ngày nay vi xử lý có tốc độ tính toán rất cao và khả năng xử lý rất lớn.          Vi xử lý có các khối chức năng cần thiết để lấy dữ liệu, xử lý dữ liệu và xuất dữ liệu ra ngoài sau khi đã xử lý. Và chức năng chính của Vi xử lý chính là xử lý dữ liệu, chẳng hạn như cộng, trừ, nhân, chia, so sánh.v.v..... Vi xử lý không có khả năng giao tiếp trực tiếp với các thiết bị ngoại vi, nó chỉ có khả năng nhận và xử lý dữ liệu mà thôi.        Để vi xử lý hoạt động cần có chương trình kèm theo, các chương trình này điều khiển các mạch logic và từ đó vi xử lý xử lý các dữ liệu cần thiết theo yêu cầu. Chương trình là tập hợp các lệnh để xử lý dữ liệu thực hiện từng lệnh được lưu trữ trong bộ nhớ, công việc thực hành lệnh bao gồm: nhận lệnh từ bộ nhớ, giải mã lệnh và thực hiện lệnh sau khi đã giải mã.       Để thực hiện các công việc với các thiết bị cuối cùng, chẳng hạn điều khiển động cơ, hiển thị kí tự trên màn hình .... đòi hỏi phải kết hợp vi xử lý với các mạch điện giao tiếp với bên ngoài được gọi là các thiết bị I/O (nhập/xuất) hay còn gọi là các thiết bị ngoại vi. Bản thân các vi xử lý khi đứng một mình không có nhiều hiệu quả sử dụng, nhưng khi là một phần của một máy tính, thì hiệu quả ứng dụng của Vi xử lý là rất lớn. Vi xử lý kết hợp với các thiết bị khác được sử trong các hệ thống lớn, phức tạp đòi hỏi phải xử lý một lượng lớn các phép tính phức tạp, có tốc độ nhanh. Chẳng hạn như các hệ thống sản xuất tự động trong công nghiệp, các tổng đài điện thoại, hoặc ở các robot có khả năng hoạt động phức tạp v.v... 2.2 Đến MCU (bộ vi điều khiển) Bộ Vi xử lý có khả năng vượt bậc so với các hệ thống khác về khả năng tính toán, xử lý, và thay đổi chương trình linh hoạt theo mục đích người dùng, đặc biệt hiệu quả đối với các bài toán và hệ thống lớn.Tuy nhiên đối với các ứng dụng nhỏ, tầm tính toán không đòi hỏi khả năng tính toán lớn thì việc ứng dụng vi xử lý cần cân nhắc. Bởi vì hệ thống dù lớn hay nhỏ, nếu dùng vi xử lý thì cũng đòi hỏi các khối mạch điện giao tiếp phức tạp như nhau. Các khối này bao gồm bộ nhớ để chứa dữ liệu và chương trình thực hiện, các mạch điện giao tiếp ngoại vi để xuất nhập và điều khiển trở lại, các khối này cùng liên kết với vi xử lý thì mới thực hiện được công việc. Để kết nối các khối này đòi hỏi người thiết kế phải hiểu biết tinh tường về các thành phần vi xử lý, bộ nhớ, các thiết bị ngoại vi. Hệ thống được tạo ra khá phức tạp, chiếm nhiều không gian, mạch in phức tạp và vấn đề chính là trình độ người thiết kế. Kết quả là giá thành sản phẩm cuối cùng rất cao, không phù hợp để áp dụng cho các hệ thống nhỏ.          Vì một số nhược điểm trên nên các nhà chế tạo tích hợp một ít bộ nhớ và một số mạch giao tiếp ngoại vi cùng với vi xử lý vào một IC duy nhất được gọi là Microcontroller - Vi điều khiển. Vi điều khiển có khả năng tương tự như khả năng của vi xử lý, nhưng cấu trúc phần cứng dành cho người dùng đơn giản hơn nhiều. Vi điều khiển ra đời mang lại sự tiện lợi đối với người dùng, họ không cần nắm vững một khối lượng kiến thức quá lớn như người dùng vi xử lý, kết cấu mạch điện dành cho người dùng cũng trở nên đơn giản hơn nhiều và có khả năng giao tiếp trực tiếp với các thiết bị bên ngoài. Vi điều khiển tuy được xây dựng với phần cứng dành cho người sử dụng đơn giản hơn, nhưng thay vào lợi điểm này là khả năng xử lý bị giới hạn (tốc độ xử lý chậm hơn và khả năng tính toán ít hơn, dung lượng chương trình bị giới hạn). Thay vào đó, Vi điều khiển có giá thành rẻ hơn nhiều so với vi xử lý, việc sử dụng đơn giản, do đó nó được ứng dụng rộng rãi vào nhiều ứng dụng có chức năng đơn giản, không đòi hỏi tính toán phức tạp.         Vi điều khiển được ứng dụng trong các dây chuyền tự động loại nhỏ, các robot có chức năng đơn giản, trong máy giặt, ôtô v.v...        Năm 1976 Intel giới thiệu bộ vi điều khiển (microcontroller) 8748, một chip tương tự như các bộ vi xử lý và là chip đầu tiên trong họ MCS-48. Độ phức tạp, kích thước và khả năng của Vi điều khiển tăng thêm một bậc quan trọng vào năm 1980 khi intel tung ra chip 8051, bộ Vi điều khiển đầu tiên của họ MCS-51 và là chuẩn công nghệ cho nhiều họ Vi điều khiển được sản xuất sau này. Sau đó rất nhiều họ Vi điều khiển của nhiều nhà chế tạo khác nhau lần lượt được đưa ra thị trường với tính năng được cải tiến ngày càng mạnh. Bộ vi điều khiển xét về nguyên lý hoạt động đã bao gồm bộ vi xử lý bên trong nó II. CÁC CÔNG NGHỆ CPU HIỆN ĐẠI 1. Intel CPU 1.1 Processor Pentium III : A – Giới thiệu : CPU của máy Pentium 3 Nhãn CPU ghi 1000/256/133/1.7V nghĩa là Tốc độ 1000MHz /Cache L1: 256K / Bus 133 / Vcc 1,7V Các thông số kỹ thuật z Tốc độ CPU từ 500 MHz đến 1.300 MHz z Tốc độ Bus ( FSB ) 100 MHz và 133 MHz z Bộ nhớ Cache từ 256K- 512K z Năm sản xuất : 1999 -2000 z Đế cắm trên Mainboard là Socket 370 Đế cắm CPU - Socket 370 trên các Mainboard Pentium 3 B – Các loại Pentium III : Pentium III (năm 1999) bổ sung 70 lệnh mới (Streaming SIMD Extensions - SSE) giúp tăng hiệu suất hoạt động của BXL trong các tác vụ xử lý hình ảnh, audio, video và nhận dạng giọng nói. Pentium III gồm các tên mã Katmai, Coppermine và Tualatin. Katmai : Sử dụng công nghệ 0,25 µm, 9,5 triệu transistor, bộ nhớ đệm L1 32KB, L2 512KB, đế cắm Slot 1 SECC2 (Single Edge Contact cartridge 2), tốc độ 450, 500, 550, 533 và 600 MHz (bus 100 MHz), 533, 600 MHz (bus 133 MHz). Coppermine : Sử dụng công nghệ 0,18 µm, 28,1 triệu transistor, bộ nhớ đệm L2 256 KB được tích hợp bên trong nhằm tăng tốc độ xử lý. Đế cắm Slot 1 SECC2 hoặc socket 370 FC-PGA (Flip-chip pin grid array), có các tốc độ như 500, 550, 600, 650, 700, 750, 800, 850 MHz (bus 100MHz), 533, 600, 667, 733, 800, 866, 933, 1000, 1100 và 1133 MHz (bus 133MHz). Tualatin : áp dụng công nghệ 0,13 µm có 28,1 triệu transistor, bộ nhớ đệm L1 32KB, L2 256 KB hoặc 512 KB tích hợp bên trong BXL, socket 370 FC-PGA (Flip-chip pin grid array), bus hệ thống 133 MHz. Có các tốc độ như 1133, 1200, 1266, 1333, 2900 MHz. Celeron Coppermine (năm 2000) : Được “rút gọn” từ kiến trúc BXL Pentium III Coppermine, còn gọi là Celeron II, được bổ sung 70 lệnh SSE. Sử dụng công nghệ 0,18 µm có 28,1 triệu transistor, bộ nhớ đệm L1 32KB, L2 256 KB tích hợp bên trong BXL, socket 370 FC-PGA, Có các tốc độ như 533, 566, 600, 633, 667, 700, 733, 766, 800 MHz (bus 66 MHz), 850, 900, 950, 1000, 1100, 1200, 1300 MHz (bus 1000 MHz). Tualatin Celeron (Celeron S) (năm 2000) : Được “rút gọn” từ kiến trúc BXL Pentium III Tualatin, áp dụng công nghệ 0,13 µm, bộ nhớ đệm L1 32KB, L2 256 KB tích hợp, socket 370 FC-PGA, bus hệ thống 100 MHz, gồm các tốc độ 1,0, 1,1, 1,2, 1,3 và 2,9 GHz. 1.2 Processor Pentium IV : A – Các loại Pentium IV : Intel Pentium 4 (P4) là BXL thế hệ thứ 7 dòng x86 phổ thông, được giới thiệu vào tháng 11 năm 2000. P4 sử dụng vi kiến trúc NetBurst có thiết kế hoàn toàn mới so với các BXL cũ (PII, PIII và Celeron sử dụng vi kiến trúc P6). Một số công nghệ nổi bật được áp dụng trong vi kiến trúc NetBurst như Hyper Pipelined Technology mở rộng số hàng lệnh xử lý, Execution Trace Cache tránh tình trạng lệnh bị chậm trễ khi chuyển từ bộ nhớ đến CPU, Rapid Execution Engine tăng tốc bộ đồng xử lý toán học, bus hệ thống (system bus) 400 MHz và 533 MHz; các công nghệ Advanced Transfer Cache, Advanced Dynamic Execution, Enhanced Floating point và Multimedia Unit, Streaming SIMD Extensions 2 (SSE2) cũng được cải tiến nhằm tạo ra những BXL tốc độ cao hơn, khả năng tính toán mạnh hơn, xử lý đa phương tiện tốt hơn. Pentium 4 đầu tiên (tên mã Willamette) xuất hiện cuối năm 2000 đặt dấu chấm hết cho "triều đại" Pentium III. Willamette sản xuất trên công nghệ 0,18 µm, có 42 triệu transistor (nhiều hơn gần 50% so với Pentium III), bus hệ thống (system bus) 400 MHz, bộ nhớ đệm tích hợp L2 256 KB, socket 423 và 478. P4 Willamette có một số tốc độ như 1,3, 1,4, 1,5, 1,6, 1,7, 1,8, 1,9, 2,0 GHz. Socket 423 chỉ xuất hiện trong khoảng thời gian rất ngắn, từ tháng 11 năm 2000 đến tháng 8 năm 2001 và bị thay thế bởi socket 478. Xung thực (FSB) của Pentium 4 là 100 MHz nhưng với công nghệ Quad Data Rate cho phép BXL truyền 4 bit dữ liệu trong 1 chu kỳ, nên bus hệ thống của BXL là 400 MHz. P4 Northwood: Xuất hiện vào tháng 1 năm 2002, được sản xuất trên công nghệ 0,13 µm, có khoảng 55 triệu transistor, bộ nhớ đệm tích hợp L2 512 KB, socket 478. Northwood có 3 dòng gồm Northwood A (system bus 400 MHz), tốc độ 1,6, 1,8, 2,0, 2,2, 2,4, 2,5, 2,6 và 2,8 GHz. Northwood B (system bus 533 MHz), tốc độ 2,26, 2,4, 2,53, 2,66, 2,8 và 3,06 GHz (riêng 3,06 GHz có hỗ trợ công nghệ siêu phân luồng Hyper Threading - HT). Northwood C (system bus 800 MHz, tất cả hỗ trợ HT), gồm 2,4, 2,6, 2,8, 3,0, 3,2, 3,4 GHz. P4 Prescott (năm 2004) : Là BXL đầu tiên Intel sản xuất theo công nghệ 90 nm, kích thước vi mạch giảm 50% so với P4 Willamette. Điều này cho phép tích hợp nhiều transistor hơn trên cùng kích thước (125 triệu transistor so với 55 triệu transistor của P4 Northwood), tốc độ chuyển đổi của transistor nhanh hơn, tăng khả năng xử lý, tính toán. Dung lượng bộ nhớ đệm tích hợp L2 của P4 Prescott gấp đôi so với P4 Northwood (1MB so với 512 KB). Ngoài tập lệnh MMX, SSE, SSE2, Prescott được bổ sung tập lệnh SSE3 giúp các ứng dụng xử lý video và game chạy nhanh hơn. Đây là giai đoạn "giao thời" giữa socket 478 - 775LGA, system bus 533 MHz - 800 MHz và mỗi sản phẩm được đặt tên riêng khiến người dùng càng bối rối khi chọn mua. Prescott A (FSB 533 MHz) có các tốc độ 2,26, 2,4, 2,66, 2,8 (socket 478), Prescott 505 (2,66 GHz), 505J (2,66 GHz), 506 (2,66 GHz), 511 (2,8 GHz), 515 (2,93 GHz), 515J (2,93 GHz), 516 (2,93 GHz), 519J (3,06 GHz), 519K (3,06 GHz) sử dụng socket 775LGA. Prescott E, F (năm 2004) có bộ nhớ đệm L2 1 MB (các phiên bản sau được mở rộng 2 MB), bus hệ thống 800 MHz. Ngoài tập lệnh MMX, SSE, SSE2, SSE3 tích hợp, Prescott E, F còn hỗ trợ công nghệ siêu phân luồng, một số phiên bản sau có hỗ trợ tính toán 64 bit. Dòng sử dụng socket 478 gồm Pentium 4 HT 2.8E (2,8 GHz), 3.0E (3,0 GHz), 3.2E (3,2 GHz), 3.4E (3,4 GHz). Dòng sử dụng socket 775LGA gồm Pentium 4 HT 3.2F, 3.4F, 3.6F, 3.8F với các tốc độ tương ứng từ 3,2 GHz đến 3,8 GHz, Pentium 4 HT 517, 520, 520J, 521, 524, 530, 530J, 531, 540, 540J, 541, 550, 550J, 551, 560, 560J, 561, 570J, 571 với các tốc độ từ 2,8 GHz đến 3,8 GHz. Pentium 4 Extreme Edition Pentium 4 Extreme Edition (P4EE) xuất hiện vào tháng 9 năm 2003, là BXL được Intel "ưu ái" dành cho game thủ và người dùng cao cấp. P4EE được xây dựng từ BXL Xeon dành cho máy chủ và trạm làm việc. Ngoài công nghệ HT "đình đám" thời bấy giờ, điểm nổi bật của P4EE là bổ sung bộ nhớ đệm L3 2 MB. Phiên bản đầu tiên của P4 EE (nhân Gallatin) sản xuất trên công nghệ 0,13 µm, bộ nhớ đệm L2 512 KB, L3 2 MB, bus hệ thống 800 MHz, sử dụng socket 478 và 775LGA, gồm P4 EE 3.2 (3,2 GHz), P4 EE 3.4 (3,4 GHz). B- Đặc tính và kỹ thuật của Chipset Pentium IV : Giới thiệu chung: -Các lọai Chipset Pentium IV đều sử dụng Mainboard có Socket 478 và có Bus từ 400~800 Mhz.Tùy theo mỗi loại mà có hỗ trợ(Support) công nghệ siêu phân luồng(Hyper-Threading- Technology). Đặc tính từng họ Chipset: Họ Chipset Đặc tính chung Tên Chipset Đặc tính riêng Intel 845 Hầu hết các loại chipset Intel 845 đều có tốc độ Bus từ 400~533 Mhz và hỗ trợ cho việc điều khiển cũng như kết nối các thiết bị phần cứng như:kết nối mạng LAN, điều khiển sound card, card AGP… Intel 845, Intel 845GL -Cache:256K -Support:SDRAM 133 Mhz,DDRAM 200~266 Mhz. -Support HDD Ultra ATA 100~133. -Support AGP 4X Intel 845E,Intel 845GV,Intel 845G -Cache:256K -Support:DDRAM 266 Mhz. -Hỗ trợ công nghệ siêu phân luồng.(Hyper- Threading-Technology) -Support HDD Ultra ATA 100~133. -Support AGP 4X Intel 845GE,Intel 845PE -Cache:256K -Support:DDRAM 333 Mhz. -Hỗ trợ công nghệ siêu phân luồng.(Hyper- Threading-Technology) -Support HDD Ultra ATA 100~133. -Support AGP 4X Intel 848 Hầu hết các loại chipset Intel 848 đều có tốc độ Bus từ 533~800 Mhz và hỗ trợ cho việc điều khiển cũng như kết nối các thiết bị phần cứng như:kết nối mạng LAN, điều khiển sound card, card AGP… Intel 848 -Cache:512K -Support:DDRAM 266~400 Mhz. -Hỗ trợ công nghệ siêu phân luồng.(Hyper- Threading-Technology) -Support HDD Ultra ATA 100~133 & SATA(Serial ATA) 150 Mhz -Support AGP 8X Intel 850 Hầu hết các loại chipset Intel 850 đều có tốc độ Bus 400 Mhz và hỗ trợ cho việc Intel 850 -Cache:512K -Support:RDRAM 800 Mhz. điều khiển cũng như kết nối các thiết bị phần cứng như:kết nối mạng LAN, điều khiển sound card, card AGP… -Support HDD Ultra ATA 100~133 -Support AGP 4X Intel 850E -Bus 533 Mhz. -Cache:512K -Support:RDRAM 800 Mhz. -Support HDD Ultra ATA 100~133 -Support AGP 4X -Support Hyper-Threading- Technology. Intel 852 Hầu hết các loại chipset Intel 852 đều có tốc độ Bus từ 400~533 Mhz và hỗ trợ cho việc điều khiển cũng như kết nối các thiết bị phần cứng như:kết nối mạng LAN, điều khiển sound card, card AGP… Intel 852PM -Cache:256K -Support:DDRAM 266~333 Mhz. -Support HDD Ultra ATA 100~133. -Support AGP 4X Intel 852GM -Cache:256K -Support:DDRAM 200~266 Mhz. -Support HDD Ultra ATA 100~133. -Support AGP 4X Intel 852GME -Cache:256K -Support:DDRAM 266~333 Mhz. -Support HDD Ultra ATA 100~133. -Support AGP 4X Sơ đồ điều khiển các thiết bị và hiển thị các thông số kỹ thuật của họ Chipset Intel 845. 1.3 Processor Celeron BXL Celeron được thiết kế với mục tiêu dung hòa giữa công nghệ và giá cả, đáp ứng các yêu cầu phổ thông như truy cập Internet, Email, chat, xử lý các ứng dụng văn phòng. Điểm khác biệt giữa Celeron và Petium là về công nghệ chế tạo và số lượng Transistor trên một đơn vị. Celeron Willamette 128 : Bản "rút gọn" từ P4 Willamette, sản xuất trên công nghệ 0,18 µm, bộ nhớ đệm L2 128 KB, bus hệ thống 400 MHz, socket 478. Celeron Willamette 128 hỗ trợ tập lệnh MMX, SSE, SSE2. Một số BXL thuộc dòng này như Celeron 1.7 (1,7 GHz) và Celeron 1.8 (1,8 GHz). Celeron NorthWood 128 : "Rút gọn" từ P4 Northwood, công nghệ 0,13 µm, bộ nhớ đệm tích hợp L2 128 KB, bus hệ thống 400 MHz, socket 478. Celeron NorthWood 128 cũng hỗ trợ các tập lệnh MMX, SSE, SSE2, gồm Celeron 1.8A, 2.0, 2.1, 2.2, 2.3, 2.4, 2.5, 2.6, 2.7, 2.8 tương ứng với các tốc độ từ 1,8 GHz đến 2,8 GHz. Celeron D (Presscott 256) : Được xây dựng từ nền tảng P4 Prescott, sản xuất trên công nghệ 90nm, bộ nhớ đệm tích hợp L2 256 KB (gấp đôi dòng Celeron NorthWood), bus hệ thống 533 MHz, socket 478 và 775LGA. Ngoài các tập lệnh MMX, SSE, SSE2, Celeron D hỗ trợ tập lệnh SSE3, một số phiên bản sau có hỗ trợ tính toán 64 bit. Celeron D gồm 310, 315, 320, 325, 325J, 326, 330, 330J, 331, 335, 335J, 336, 340, 340J, 341, 345, 345J, 346, 350, 351, 355 với các tốc độ tương ứng từ 2,13 GHz đến 3,33 GHz. Processor 64 BIT, Vi kiến trúc NETBURST, EM64T 1.4.1 P4 Prescott (năm 2004) Vi kiến trúc NetBurst 64 bit (Extended Memory 64 Technology - EM64T) đầu tiên được Intel sử dụng trong BXL P4 Prescott (tên mã Prescott 2M). Prescott 2M cũng sử dụng công nghệ 90 nm, bộ nhớ đệm L2 2 MB, bus hệ thống 800 MHz, socket 775LGA. Ngoài các tập lệnh MX, SSE, SSE2, SSE3, công nghệ HT và khả năng tính toán 64 bit, Prescott 2M (trừ BXL 620) có hỗ trợ công nghệ Enhanced SpeedStep để tối ưu tốc độ làm việc nhằm tiết kiệm điện năng. Các BXL 6x2 có thêm công nghệ ảo hóa (Virtualization Technology). Prescott 2M có một số tốc độ như P4 HT 620 (2,8 GHz), 630 (3,0 GHz), 640 (3,2 GHz), 650 (3,4 GHz), 660, 662 (3,6 GHz) và 670, 672 (3,8 GHz). Prescott Cedar Mill (năm 2006) hỗ trợ các tập lệnh và tính năng tương tự Prescott 2M nhưng không tích hợp Virtualization Technology. Cedar Mill được sản xuất trên công nghệ 65nm nên tiêu thụ điện năng thấp hơn, tỏa nhiệt ít hơn các dòng trước, gồm 631 (3,0 GHz), 641 (3,2 GHz), 651 (3,4 GHz) và 661 (3,6 GHz). Pentium D (năm 2005) : Pentium D (tên mã Smithfield, 8xx) là BXL lõi kép (dual core) đầu tiên của Intel, được cải tiến từ P4 Prescott nên cũng gặp một số hạn chế như hiện tượng thắt cổ chai do băng thông BXL ở mức 800 MHz (400 MHz cho mỗi lõi), điện năng tiêu thụ cao, tỏa nhiều nhiệt. Smithfield được sản xuất trên công nghệ 90nm, có 230 triệu transistor, bộ nhớ đệm L2 2 MB (2x1 MB, không chia sẻ), bus hệ thống 533 MHz (805) hoặc 800 MHz, socket 775LGA. Ngoài các tập lệnh MMX, SSE, SSE2, SSE3, Smithfield được trang bị tập lệnh mở rộng EMT64 hỗ trợ đánh địa chỉ nhớ 64 bit, công nghệ Enhanced SpeedStep (830, 840). Một số BXL thuộc dòng này như Pentium D 805 (2,66 GHz), 820 (2,8 GHz), 830 (3,0 GHz), 840 (3,2 GHz). Cùng sử dụng vi kiến trúc NetBurst, Pentium D (mã Presler, 9xx) được Intel thiết kế mới trên công nghệ 65nm, 376 triệu transistor, bộ nhớ đệm L2 4 MB (2x2 MB), hiệu năng cao hơn, nhiều tính năng mới và ít tốn điện năng hơn Smithfield. Pentium D 915 và 920 tốc độ 2,8 GHz, 925 và 930 (3,0GHz), 935 và 940 (3,2 GHz), 945 và 950 (3,4 GHz), 960 (3,6GHz). Presler dòng 9x0 có hỗ trợ Virtualization Technology. Pentium Extreme Edition (năm 2005) BXL lõi kép dành cho game thủ và người dùng cao cấp. Pentium EE sử dụng nhân Smithfield, Presler của Pentium D trong đó Smithfield sử dụng công nghệ 90nm, bộ nhớ đệm L2 được mở rộng đến 2 MB (2x1 MB), hỗ trợ tập lệnh MMX, SSE, SSE2, SSE3, công nghệ HT, Enhanced Intel SpeedStep Technology (EIST) và EM64T. Pentium 840 EE (3,20 GHz, bus hệ thống 800 MHz, socket 775LGA) là một trong những BXL thuộc dòng này. Pentium EE Presler sử dụng công nghệ 65 nm, bộ nhớ đệm L2 được mở rộng đến 4 MB (2x2 MB), hỗ trợ tập lệnh MMX, SSE, SSE2, SSE3, công nghệ HT, Enhanced Intel SpeedStep Technology (EIST), EM64T và Virtualization Technology. Một số BXL thuộc dòng này là Pentium EE 955 (3,46GHz) và Pentium EE 965 (3,73GHz) có bus hệ thống 1066 MHz, socket 775. 1.5 Processor 64bit, kiến trúc Core Tại diễn đàn IDF đầu năm 2006, Intel đã giới thiệu kiến trúc Intel Core với năm cải tiến quan trọng là khả năng mở rộng thực thi động (Wide Dynamic Execution), tính năng quản lý điện năng thông minh (Intelligent Power Capability), chia sẻ bộ nhớ đệm linh hoạt (Advanced Smart Cache), truy xuất bộ nhớ thông minh (Smart Memory Access) và tăng tốc phương tiện số tiên tiến (Advanced Digital Media Boost). Những cải tiến này sẽ tạo ra những BXL mạnh hơn, khả năng tính toán nhanh hơn và giảm mức tiêu thụ điện năng, tỏa nhiệt ít hơn so với kiến trúc NetBurst. Intel Core 2 Duo Intel Core 2 Duo: BXL lõi kép sản xuất trên công nghệ 65 nm, hỗ trợ SIMD instructions, công nghệ Virtualization Technology cho phép chạy cùng lúc nhiều HĐH, tăng cường bảo vệ hệ thống trước sự tấn công của virus (Execute Disable Bit), tối ưu tốc độ BXL nhằm tiết kiệm điện năng (Enhanced Intel SpeedStep Technology), quản lý máy tính từ xa (Intel Active Management Technology). Ngoài ra, còn hỗ trợ các tập lệnh MMX, SSE, SSE2, SSE3, SSSE3. Có tốc độ từ 1.06GHz tới 2.33GHz, FSB 800 hoặc 1066MHz nhưng các vi xử lý loại này có rất nhiều cải tiến về kiến trúc so với Core Duo, trước hết phải nói tới công nghệ cache chia sẻ, tức là hai nhân dùng chung một bộ đệm 2MB hoặc 4MB giúp việc truy xuất cache linh hoạt hơn rất nhiều. Ngoài ra phải kể tới các công nghệ tích hợp khác như Smart Memory Acces cải tiến tốc độ truy xuất bộ nhớ, Advanced Digital Media Boost tăng cường các tập lệnh xử lý cho các ứng duụng media... đã làm cho Core 2 Duo mạnh và tiết kiệm năng lượng hơn rất nhiều so với Core Duo. Core 2 Duo có mã T7xxx, U7xxx, L7xxx hoặc T5xxx. So với vi kiến trúc Intel hai nhân hiện tại, năm cải tiến quan trọng trong vi kiến trúc Core là Mở Rộng Thực Thi Động (Wide Dynamic Execution), Quản Lý Điện Năng Thông Minh (Intelligent Power Capability), Đệm Thông Minh Tiên Tiến (Advanced Smart Cache), Truy Xuất Bộ Nhớ Thông Minh (Smart Memory Access) và Tăng Tốc Phương Tiện Số Tiên Tiến (Advanced Digital Media Boost). Wide Dynamic Execution Thực thi động là sự kết hợp của nhiều kỹ thuật (phân tích luồng dữ liệu, thực thi suy đoán, thực thi phi thứ tự,...) đã được Intel hiện thực trong vi kiến trúc P6 gồm bộ xử lý Pentium Pro, Pentium II, Pentium III. Trong vi kiến trúc NetBurst sau đó, Intel đưa ra cơ chế Thực Thi Động Tiên Tiến (Advanced Dynamic Execution) thiết kế sâu hơn, tiên đoán xa hơn và cải tiến giải thuật tiên đoán nhánh lệnh để giảm bớt trường hợp tiên đoán sai. Trong kiến trúc Core, hàng lệnh thực thi được thiết kế dài hơn (14 khâu) giúp tiên đoán nhánh lệnh chính xác hơn và có đến 4 hàng lệnh thực thi cùng lúc (Intel Mobile và NetBurst trước đây chỉ thực thi được cùng lúc ba hàng lệnh). Một tính năng khác cũng góp phần rút ngắn thời gian thực thi lệnh là macrofusion. Trong quá trình giải mã lệnh, một số cặp vi lệnh thông dụng (như lệnh compare (so sánh) đi kèm lệnh jump (nhảy) có điều kiện) sẽ được macrofusion ghép thành một vi lệnh mới. Bộ Luận Lý Tóan Học (Arithmetic Logic Unit-ALU) trong vi kiến trúc Core được thiết kế để thực thi các lệnh kết hợp theo cơ chế macrofusion trong một xung nhịp, giúp rút ngắn đáng kể thời gian thực thi (so với khi chưa kết hợp) và cũng đồng nghĩa giảm năng lượng. Trong Intel Core, bạn cũng bắt gặp lại kỹ thuật tiết kiệm năng lượng thời gian micro-op fusion từng được dùng trong bộ xử lý Pentium M. Thông thường, một lệnh thực thi (macro-op) thường được chẻ nhỏ thành nhiều vi lệnh (micro-op) trước khi chuyển vào hàng lệnh thực thi của bộ vi xử lý. Kỹ thuật micro-op giúp tối giản một số vi lệnh trong hàng chờ. Trong vi kiến trúc Core, số trường hợp tinh giản vi lệnh được mở rộng hơn nhờ hàng lệnh được thiết kế 14 khâu (dài hơn trước).  Mỗi nhân có thể xử lý đồng thời 4 hàng lệnh Intelligent Power Capability Một trong những yếu tố đánh giá hiệu quả hệ thống điện toán hiện tại là chỉ số hiệu năng/điện năng tiêu thụ. Điều này có nghĩa chúng ta chỉ cần giảm lượng điện năng tiêu thụ là đã tăng độ hiệu quả hệ thống. Bên cạnh các cải tiến nâng cao hiệu năng xử lý, Intel Core đồng thời thiết kế Intel Intelligent Power Capability để tiết kiệm điện năng. Công nghệ hiện tại đã cho phép Intel thiết kế cơ chế tắt mở cổng luận lý theo yêu cầu. Nhờ vậy, vi kiến trúc Core có khả năng tắt một hệ thống con trong bộ vi xử lý khi không cần dùng đến để tiết kiệm điện năng; nhưng vẫn đảm bảo kích hoạt ngay khi cần để không ảnh hưởng đến tốc độ chung của bộ vi xử lý. Bên cạnh đó, nhiều tuyến bus và vùng dữ liệu cũng đã được thiết kế tách biệt để có thể vẫn đảm bảo truyền tải dữ liệu ở mức điện áp thấp trong một số trạng thái. Advanced Smart Cache Khác với cách hiện thực thông thường, Intel thiết kế trong vi kiến trúc Core một bộ đệm L2 dùng chung cho cả hai nhân vi xử lý để nâng cao hiệu năng, tăng phần hiệu quả truy xuất dữ liệu. Đơn giản một điều, khi hai nhân thực thi cần sử dụng một dữ liệu giống nhau thì có thể lưu tại một nơi trong vùng đệm L2 dùng chung chứ không cần phải lưu thành hai bản tại hai vùng đệm L2 riêng như trước đây. Điều này giúp tiết kiệm tài nguyên, rút ngắn thời gian chuyển dữ liệu qua lại hai bộ đệm. Công nghệ này còn cho phép phân chia động dung lượng vùng đệm theo nhu cầu từng nhân. Khi nhân thứ nhất không cần dùng đến bộ đệm thì toàn bộ vùng đệm L2 dùng chung có thể được chia hết cho nhân thứ hai; và ngược lại. Điều này giúp tăng hiệu quả sử dụng bộ đệm, tránh được trường hợp thiếu bộ đệm, đồng thời tận dụng hiệu quả tốc độ đáp ứng cao của đệm L2. Smart Memory Access Công nghệ Intel Smart Memory Access có hai kỹ thuật quan trọng là nạp trước dữ liệu (memory disambiguation) và bộ nạp lệnh tiên tiến (advanced prefetcher). Kỹ thuật nạp trước dữ liệu có giải thuật đặc biệt để định giá được những lệnh load (nạp dữ liệu) không lệ thuộc và có thể thực thi vượt trước lệnh store (lưu dữ liệu). Điều này thực thi đúng tinh thần xử lý song song và đạt đến mức độ lệnh thực thi vi lệnh nên hỗ trợ rất đắc lực cho môi trường đa nhiệm, xử lý song song. Trong một số trường hợp phép nạp "vượt đèn" không đúng, Intel cũng đã tích hợp cơ chế cho phép phát hiện điểm tranh chấp, nhanh chóng nạp lại dữ liệu đúng và thực thi lại lệnh. Bên cạnh, Intel Smart Memory Access cũng có bộ nạp lệnh (prefetcher) tiên tiến không chỉ làm nhiệm vụ nạp dữ liệu vào bộ nhớ mà còn chuyển dữ liệu sẵn sàng tại vùng đệm để tận dụng được tốc độ truy xuất cao của vùng đệm. Vi kiến trúc Core tích hợp hai cấp L1 và hai cấp L2 với nhiệm vụ đặt dữ liệu của những lệnh thực thi chưa tức thời lên vùng đệm L1 và chuẩn bị sẵn sàng dữ liệu thực thi tức thời trên vùng đệm L2. Vi kiến trúc Intel Core xử lý lệnh SIMD 128bit trong một xung nhịp Advanced Digital Media Boost Tăng tốc thực thi lệnh Streaming SIMD Extension (SSE), vi kiến trúc Core trang bị công nghệ Intel Advanced Digital Media Boost hỗ trợ xử lý các phép toán SIMD 128bit. Trước đây, bộ vi xử lý chỉ hỗ trợ các phép toán độ dài 64bit nên một lệnh SIMD 128bit buộc phải chia đôi và xử lý trong hai xung. Công nghệ Intel Advanced Digital Media Boost trong vi kiến trúc Core chỉ xử lý trong một xung nên rút ngắn gấp đôi thời gian xử lý dữ liệu của các ứng dụng video, âm thanh, đồ họa, và dạng dữ liệu dùng tập lệnh SSE, SSE2. SSE3. Khả năng tính toán dấu chấm động và số nguyên 128 bit cũng giúp nâng độ chính xác trong các ứng dụng đặc thù như xử lý hình ảnh, video, giọng nói, mã hóa, tài chính, kỹ thuật và khoa học. Bộ xử lý Core 2 Duo có: * 2 nhân. * Từ 2-4MB L2 cache. * FSB 800-1066MHz. * Hỗ trợ 64-bit. Bộ xử lý Core 2 Duo nhanh hơn 40% và tiêu thụ năng lượng ít hơn 40% so với dòng Pentium D 2 nhân cao cấp nhất. Core 2 Duo đã được nhiều chuyên gia đánh giá là bộ xử lý tốt nhất thế giới, dựa trên ưu điểm của tính năng, chức năng, tốc độ xử lý và năng lượng tiêu thụ.. Bộ vi xử lý lõi kép (2 nhân) Core 2 Duo sẽ có bộ nhớ đệm (hay còn gọi là kho nhớ tích hợp) lớn nhất trong ngành công nghiệp vi xử lý với tên gọi Intel Advance Smart Cache, giúp hệ thống xử lý nhanh hơn những ứng dụng dùng nhiều bộ nhớ. Sản phẩm này còn hỗ trợ những tính năng tiên tiến như tăng cường tính bảo mật, ảo hóa và khả năng quản lý. Cùng tên mã trước đây lần lượt là Conroe (chip dùng cho máy tính xách tay) và Merom (máy để bàn), các bộ vi xử lý Intel Core2 Duo này được phát triển dựa trên nền tảng vi kiến trúc Intel Core mới được công bố. Trong đó, số "2" dùng để đánh dấu sự xuất hiện của của một thế hệ công nghệ mới trong dòng sản phẩm của hãng và đuôi "Duo" biểu thị sản phẩm được thiết kế với 2 lõi (nhân). Đồng thời, Intel công bố nhãn hiệu bộ vi xử lý Intel Core 2 Extreme với hiệu suất cao nhất dành cho những người đam mê công nghệ và giới game thủ. Những thiết bị này được phát triển trên công nghệ sản xuất và thiết kế 65 nm tiên tiến của Intel, thu hẹp các mạch và bóng bán dẫn bên trong bộ vi xử lý, giúp sản phẩm có hiệu suất hoạt động cao, đồng thời tiêu thụ ít điện năng hơn so với các loại chip hiện hành. "Nhờ có nền tảng vi kiến trúc thống nhất dành cho máy tính xách tay và máy tính để bàn này, những nhà phát triển sẽ dễ dàng hơn trong việc thiết kế những phần mềm được tối ưu hóa một lần duy nhất cho hàng loạt nhu cầu điện toán khác nhau", Lần đầu tiên, Intel chế tạo đặc biệt một cấu trúc lõi hợp nhất cho tất cả các loại: Conroe cho màn hình, Merom dành riêng cho Notebook và máy chủ/ máy trạm thì có Woodcrest. Tất cả các chip kia đều dựa trên công nghệ sản xuất lõi của Intel và cả ba đều là các bộ vi xử lý lõi kép. Cả ba loại chip cải tiến (Conroe, Merom, và Woodcrest) sẽ cung cấp cho máy tính công suất lớn hơn nhưng lại tốn ít điện năng. Nó sẽ giúp laptop kéo dài thời lượng của pin. Đồng thời, Core 2 Duo làm cho hệ thống các trình tạo xuất hiện với những màn hình và máy trạm mỏng hơn. Sở dĩ như vậy là vì năng lượng thấp đồng nghĩa với việc tỏa ít nhiệt nên không gian dành cho quạt gió trong CPU cũng giảm đi. Mở rộng vấn đề trên, năng lượng thấp sẽ làm cho quạt gió chạy chậm hơn vì thế hệ thống máy tính của bạn sẽ bớt ồn khi hoạt động. Với nhiều máy tính trong phòng khách hay các phòng làm việc nhỏ hẹp thì "yên tĩnh" là thứ quan trọng hơn bao giờ hết. Cho đến bây giờ, Core 2 Duo được chế tạo ra để đánh bại bộ vi xử lý Pentium D/EE (LGA775). Nó sẽ mang đến niềm hân hoan của sự được nâng cấp - đặc biệt đối với những ai đã mua những chiếc máy tính hiện đại và nhanh nhất hồi mùa hè năm ngoái. Niềm vui này cũng được chia sẻ với những nhà sản xuất - những người không muốn phí tiền cho một đống những bộ phận khác nhau. Tính đa nhiệm đang thể hiện ở một cấp độ cao hơn. Với công nghệ thực sự của Core 2 Duo, bạn có thể biến chiếc máy tính thông thường của mình thành một vài hệ thống ảo, tất cả đều chạy được trên những ứng dụng khác nhau và OS. Ví dụ, về mặt lý thuyết mà nói, bạn có thể chạy trình duyệt FTP server trên một máy, ứng dụng Web server trên một máy khác còn cơ sở dữ liệu thì trên chiếc máy tính thứ 3 mà vẫn chơi game hay lướt web trên máy thứ 4. Đây thực sự là một lợi ích cho các chuyên gia thiết kế muốn giữ cho sự vận hành của các máy ảo được "sạch sẽ". Hiện nay chỉ có các máy tính dành cho doanh nghiệp mới có chip hỗ trợ tính năng bảo vệ TPM 1.2 bên trong. Với Core 2 Duo, tất cả các máy tính đều luôn trong trạng thái an toàn. Công nghệ bảo mật TPM (Trusted Platform Module) bảo vệ các mật khẩu, mật lệnh, mở máy và các dữ liệu cá nhân ở ổ cứng khỏi những hacker, phần mềm gián điệp Spyware và những tên trộm nhân dạng (ID thieves). Chạy đúng như những gì Intel quảng cáo. Với tên gọi chính thức là Conroe, dòng BXL Core 2 Duo của Intel là những BXL nhanh nhất cho tới nay. Hệ thống tự lắp đã vượt đến 17% điểm PC WorldBench so với hệ thống cao cấp Athlon 64 FX-62 của AMD. Bên cạnh đó, hệ thống ép xung, tản nhiệt nước của ABS chạy BXL Core 2 Duo đạt được điểm số WorldBench cao nhất từ trước đến nay: 181 điểm. Mọi cấu hình thử nghiệm Core 2 Duo đều chạy ấn tượng. Cụ thể, các model Core 2 Duo cao hơn hoàn tất các ứng dụng đa phương tiện "nặng ký” nhanh hơn, chạy nhiều ứng dụng cùng lúc hiệu quả hơn và game chạy cũng nhanh hơn. Dòng Core 2 Duo có các model từ E6300 1,86GHz với bộ đệm cache L2 2MB cho tới Core 2 Extreme X6800 có bộ đệm 4MB đều có bus hệ thống 1066MHz. Mặc dù Core 2 Duo được thiết kế trên nền socket 775 giống với Pentium 4 và Pentium D nhưng chúng yêu cầu phải có chipset mới, vì vậy để chạy được thì bo mạch chủ (BMC) phải hỗ trợ. Cải tiến kiến trúc Hãng đã tăng lực khá nhiều nhưng giảm được điện năng tiêu thụ cho CPU lõi kép. Theo chi tiết kỹ thuật về thiết kế nhiệt của Intel, phiên bản BXL trước đây là Pentium Extreme Edition tiêu thụ đến 135W, còn Core 2 Extreme X6800 chỉ tiêu thụ 75W; một số model Core 2 Duo mức tiêu thụ có thể xuống đến 65W Ví dụ, khi PC chỉ chạy vài ứng dụng đơn giản hoặc ở trạng thái nghỉ, Core 2 Duo có thể chạy chậm lại hoặc ngưng luôn những phần không cần thiết Thiết kế này cho phép các nhà sản xuất máy tính xây dựng các hệ thống cao cấp nhưng có thùng máy nhỏ gọn và chạy êm hơn. Core 2 Duo có nhiều ưu thế về tốc độ so với Pentium là nhờ kiến trúc mới được chêm thêm một hàng thực thi mới trên mỗi nhân CPU. (BXL Core 2 Duo có 4 hàng thực thi trên mỗi nhân so với Pentium D chỉ có 3 hàng). Hàng thứ 4 này trên mỗi nhân kết hợp với tập mã mới thông minh hơn (nhóm các tập lệnh phổ biến lại thành một tập lệnh), cho phép Core 2 Duo chạy nhanh hơn Pentium D cho dù Pentium D có xung cao hơn. Bộ đệm cache L2 đến 4MB bảo đảm cung cấp dữ liệu để BXL luôn làm việc ở mức tối đa và Intel cũng đã nỗ lực tinh chỉnh các thuật toán nạp trước (prefetching), ưu tiên tối đa cho dữ liệu thích hợp trước khi BXL cần đến. Trong khi hầu hết các BXL 2 nhân cố định dung lượng bộ đệm cho mỗi nhân thì Core 2 Duo cho phép chia sẻ cho toàn bộ 4MB bộ nhớ cache. Và BXL có thể phân phối bộ nhớ cache này giữa các nhân theo nhu cầu. Nếu một nhân phải làm việc "nặng" trong một tác vụ phức tạp thì nó có thể dùng hầu hết 4MB của cache L2 trong khi nhân kia chỉ chạy một tác vụ đơn giản hơn, cần ít bộ đệm hơn. Những bộ vi xử lý Core2 đã phát hành và những tính năng của chúng Bộ vi xử lí Core 2 Duo ( với tên mã Conroe ) bắt đầu thế hệ CPU mới của Intel dựa trên vi cấu trúc Core mới và công bố kết thúc vi cấu trúc Netburst được dùng trong những bộ vi xử lí thế hệ thứ 7 của Intel . Vi cấu trúc Core được dựa trên cùng cấu trúc được dùng trong Pentium M và Pentium III , vì thế chúng ta cũng có thể nói rằng Core 2 Duo là CPU Intel thuộc thế hệ thứ 5 . Bên cạnh Core 2 Duo , Core 2 Quad , Core 2 Extreme thì Xeon dòng 5100 ( với tên mã Woodcrest ) dùng cấu trúc mới này . Họ Core 2 gồm 03 loại chính : Core 2 Duo thay thế cho Pentium 4 và Pentium D Core 2 Quad là Core 2 Duo 4 lõi Core 2 Extreme thay thế cho Pentium Extreme Edition . Sự khác nhau giữa Core 2 Duo và Core 2 Extreme đó là một loại có khả năng chạy với tốc độ cao hơn và có tỉ lệ nhân tần không khoá , điều đó cho phép bạn chạy Overclock CPU bằng cách thay đổi tỉ lệ nhân tần . Một số phiên bản Core 2 Extreme có 04 lõi , bạn sẽ thấy ở phần thứ hai . Dưới đây là tóm tắt những tính năng chính của họ Core 2 Vi cấu trúc Core 32KB Cache lệnh L1 và 32 KB Cache dữ liệu L1 cho mỗi lõi Công nghệ Dual-Core hoặc Quad-Core Công nghệ xử lí sản xuất 65nm Socket 775 FSB 800/1066/1333 MHz 2MB, 4MB hoặc 8MB bộ nhớ Cache L2 hợp nhất . Hỗ trợ công nghệ Intel Virtualization ( ngoại trừ Core 2 Duo E4300 ) Hỗ trợ công nghệ Intel EM64T Hỗ trợ tập lệnh SSE3 Hỗ trợ Execute Disable Bit Hỗ trợ khả năng quản lí nguồn thông minh Hỗ trợ công nghệ Enhanced SpeedStep Khu vực của bộ vi xử lí Core 2 Những Model Bảng bên dưới là danh sách những kiểu Core 2 Duo sSpec Model Tốc độ bên trong ( GHz ) FSB ( MHz ) L2 Cache Số lượng Transistor Kích thước vùng chứa TDP Nhiệt độ cao nhất. (º C) Điện áp SLA9U E6850 3  1,333 4 MB 291 triệu 143 mm2 65 W 72 0.962V-1.35V SLA9V E6750 2.66 1,333 4 MB 291 triệu 143 mm2 65 W 72 0.962V-1.35V SL9ZF E6700 2.66 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 - SL9S7 E6700 2.66 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 0.85V-1.35V SL9ZL E6600 2.40 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 1.18V-1.32V SL9S8 E6600 2.40 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 0.85V-1.35V SLA9X E6550 2.33 1,333 4 MB 291 triệu 143 mm2 65 W 72  0.962V-1.35V  SLAAX  E6540  2.33 1,333  4 MB  291 triệu  143 mm2   65 W  72  0.962V-1.35V SL94T E6420 2.13 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 - SL9T9 E6400 2.13 1,066 2 MB 167 triệu 111 mm2 65 W 61.4 1.22V-1.32V SL9S9 E6400 2.13 1,066 2 MB 167 triệu 111 mm2 65 W 61.4 0.85V-1.35V SLA4U E6320 1.86 1,066 4 MB 291 triệu 143 mm2 65 W 60.1 - SL9TA E6300 1.86 1,066 2 MB 167 triệu 111 mm2 65 W 61.4 1.22V-1.32V SL9SA E6300 1.86 1,066 2 MB 167 triệu 111 mm2 65 W 61.4 0.85V-1.35V SLA95  E4500   2.20   800  2 MB   167 triệu  111 mm2   65 W   73.3   0.962V-1.35V SL93F E4400 2  800 2 MB 167 triệu 111 mm2 65 W 61.4 1.16V-1.31V SLA98  E4400  2    800    2 MB   167 triệu   111 mm2   65 W   73.3  1.16V-1.31V SL9TB E4300 1.8 800 2 MB 167 triệu 111 mm2 65 W 61.4 0.85V-1.35V Bảng dưới đây là những kiểu Core 2 Quad sSpec Model Tốc độ xung nhịp ( GHz ) FSB ( MHz ) L2 Cache TDP Nhiệt độ cao nhất . (º C) Điện áp Số lượng lõi SLACQ Q6700 2.66  1,066 8 MB 95 71 1.10V-1.37V 4 SL9UM Q6600 2.4   1,066   8 MB  105 W  62,2  1.10V-1.37V 4 SL9UM Q6600 2.4 1,066 8 MB 105 W 62,2 1.10V-1.37V 4 Bảng dưới đây là danh sách của những kiểu Core 2 Extreme sSpec Model Tốc độ xung nhịp ( GHz ) FSB ( MHz ) L2 Cache TDP Nhiệt độ cao nhất . (º C) Điện áp Số lượng lõi SLAFN QX6850 3  1,333 8 MB 130 W 64.5 1.10V-1.37V 4 SL9UK QX6800 2.93 1,066 8 MB 130 W 64.5 1.10V-1.37V 4 SL9S5 X6800  2.93   1,066  4 MB  75 W  60.4  0.85V-1.35V 2 SLACP QX6800 2.93   1,066    8 MB  130 W  64.5 1.10V-1.37V 4 SLA33 X7900  2.80 800 4 MB 44 W  100  -   2  SLAF4 X7900 2.80 800 4 MB 44 W  100  1.125V-1.325V  2 SL9UL QX6700 2.66 1,066 8 MB 130 W 65 1.10V-1.37V 4 SLA6Z X7800 2.60 800  4 MB 44 W 100 - 2 1.5.2 Core 2 Extreme BXL lõi kép dành cho game thủ sử dụng kiến trúc Core, có nhiều đặc điểm giống với BXL Core 2 như công nghệ sản xuất 65 nm, hỗ trợ các công nghệ mới Enhanced Intel SpeedStep Technology, Intel x86-64, Execute Disable Bit, Intel Active Management, Virtualization Technology, Intel Trusted Execution Technology... các tập lệnh MMX, SSE, SSE2, SSE3, SSE3. Core 2 Extreme (tên mã Conroe XE) (tháng 7 năm 2006) với đại diện X6800 2,93 Ghz, bộ nhớ đệm L2 đến 4 MB, bus hệ thống 1066 MHz, socket 775LGA. Cuối năm 2006, con đường phía trước của BXL tiếp tục rộng mở khi Intel giới thiệu BXL 4 nhân (Quad Core) như Core 2 Extreme QX6700, Core 2 Quad Q6300, Q6400, Q6600 và BXL 8 nhân trong vài năm tới. Chắc chắn những BXL này sẽ thỏa mãn nhu cầu người dùng đam mê công nghệ và tốc độ. BXL QC (Quad Core-QC) : Gồm 2 BXL lõi kép Core 2 giống nhau ghép lại (xem hình). Do đó Intel có thể tận dụng các thành quả của công nghệ và kiến trúc mới để tạo ưu thế về sản phẩm trên thị trường. Đích nhắm của sản phẩm lõi tứ là những người chơi game chuyên nghiệp, cần xử lý nhiều tác vụ song song và “nặng”. Intel Core 2 Extreme QX6700 : Gồm 4 nhân, mỗi nhân có tốc độ 2,66GHz, hỗ trợ tập lệnh MMX, SSE, SSE2, SSE3, SSSE3, có công nghệ ảo hóa (Intel Virtualization Technology), xử lý 64 bit EM64T (Enhanced Memory 64 Technology), tự điều chỉnh tốc độ xung (hệ số nhân) tùy theo tải hệ thống EIST (Enhanced Intel SpeedStep Technology). QX6700 có băng thông 1066MHz (FSB), gồm 2 cache L2 4MB (mỗi cache L2 4MB được chia sẻ cho 2 nhân), hoạt động ở điện thế 1,35V, Thermal Design Power 130W. Test Lab tiến hành thử nghiệm QX6700 và so sánh với kết quả của Core 2 cao nhất hiện nay là X6800 (2,93GHz) để thấy được hiệu năng của QC so với lõi kép. Các thử nghiệm cho thấy, đối với nhiều ứng dụng riêng lẻ (trừ điểm PCMark05 CPU) thì hiệu năng của QX6700 chưa bằng so với X6800 qua điểm đánh giá hệ thống (SYSMark 2004SE), điểm bộ nhớ (PCMark05 Memory), điểm đồ họa (PCMark05 Graphics và 3Dmark05), điểm game Half Life 2 (biểu đồ). Nhưng khi thử nghiệm với các ứng dụng “nặng”, đòi hỏi khả năng xử lý song song thì QX6700 đã chứng tỏ được “vị thế” của mình. Tuy chưa có công cụ, Test Lab đã tăng “sức nặng” phép thử bằng cách chạy nền phần mềm nén file WinRAR để nén thư mục dung lượng lớn trong khi chạy lấy điểm cho game HalfLife 2, kết quả đã phần nào thể hiện ưu thế của QC nhất là khi so với thử nghiệm chạy game Half Life 2 đơn lẻ. QX6700 cho kết quả cao hơn nhiều so với X6800. Không những thế, khả năng ép xung của QX6700 rất tốt, Ép xung lên đến 3,42GHz thì BXL vẫn chạy rất ổn định . Có lẽ, trong điều kiện thử nghiệm này bạn nên dùng tản nhiệt nước sẽ hiệu quả và ít ồn so với quạt “zin” của Intel. QX6700 được thiết kế dành cho game, ứng dụng đa nhiệm nhưng chưa có nhiều phần mềm “dùng” được sức mạnh này. Vị thế hiện tại của chip QC chưa lấn át được lõi kép trong nhiều ứng dụng đơn luồng như hiện nay, nhưng hy vọng giải pháp mới của Intel sẽ mở ra tương lai lạc quan khi các nhà sản xuất game, phần mềm tận dụng được khả năng xử lý song song của BXL. 1.5.3 Core i7 Ra đời tháng 11 năm 2008 Ngày 18.11, Intel chính thức giới thiệu bộ vi xử lý dành cho máy tính để bàn Intel® Core™ i7 nhanh nhất từ trước đến nay. Bộ vi xử lý Intel® Core™ i7 mang lại hiệu suất hoạt động theo nhu cầu sử dụng, bổ sung công nghệ tăng tốc Turbo Boost và công nghệ siêu phân luồng Hyper-Threading... Core™ i7 là thành viên đầu tiên trong họ vi kiến trúc Nehalem của Intel. Mỗi bộ vi xử lý Core™ i7 có bộ nhớ đệm L3 dung lượng 8MB và ba kênh bộ nhớ DDR3 1066 giúp mang lại hiệu suất hoạt động bộ nhớ tốt nhất. Core™ i7 Extreme Edition bỏ tính năng chống ép xung, cho phép người dùng có thể nâng cao hơn nữa tốc độ xử lý.Công nghệ tăng tốc Turbo Boost giúp nâng cao hiệu suất hoạt động cho phù hợp với nhu cầu và khối lượng công việc của người dùng. Thông qua một thiết bị kiểm soát điện năng tinh vi ngay trên đế của bộ vi xử lý và sử dụng các bóng bán dẫn “cổng điện năng” mới dựa trên công nghệ sản xuất 45 nanomet high-k cổng kim loại tiên tiến của Intel, công nghệ Turbo Boost sẽ tự động điều chỉnh xung nhịp đồng hồ của một hay nhiều nhân xử lý trong số 4 nhân xử lý độc lập đối với các ứng dụng đơn hoặc đa luồng, nhằm tăng cường hiệu suất hoạt động mà không làm tăng mức tiêu thụ điện năng.Core™ i7 tăng gấp đôi băng thông bộ nhớ của các nền tảng “Extreme” trước đây của Intel, tăng tốc độ chuyển tải các bit và bite máy tính vào/ra bộ xử lý với công nghệ Intel® Quickpath. Được thiết kế với công nghệ siêu phân luồng Hyper-Threading của Intel, bộ vi xử lý còn cho phép nhiều luồng dữ liệu điện toán được xử lý đồng thời, cho phép bộ vi xử lý thực hiện 2 tác vụ cùng lúc một cách có hiệu quả. Và như vậy, bộ vi xử lý 4 nhân Core i7 có khả năng mang lại hiệu suất xử lý 8 luồng dữ liệu cùng lúc. Được công bố rộng rãi trong ngành công nghiệp điện toán như là một kiệt tác công nghệ, bộ vi xử lý Intel® Core™ i7 thiết lập một kỷ lục thế giới mới với số điểm 117 trong hệ thống kiểm tra SPECint_base_rate2006, một tiêu chuẩn chuyên đo hiệu suất hoạt động của bộ vi xử lý. Đây là lần đầu tiên từ trước đến nay một bộ vi xử lý đơn có thể vượt qua mức 100 điểm. Bộ ba chip ra mắt đợt đầu bao gồm các chip lõi tứ Core i7 Extreme 965 cao cấp, Core i7 940 và Core i 920. . Khác với chuyển đổi từ Pentium 4/Pentium D lên Core2, quá trình chuyển đổi từ Core2 lên Core i7 đòi hỏi phải thay đổi toàn bộ nền tảng do sử dụng Socket 1366 thay vì 775. Core i7 dựa trên nền tảng Socket 1366, Chipset X58 và bộ nhớ DDR3. Bộ điều khiển bộ nhớ tích hợp tỏ ra còn mạnh mẽ hơn cả giải pháp tương tự của AMD trên desktop. Thêm vào đó, khác với các chip Core 2 Quad, Core i7 lõi tứ có 4 nhân "thật", thay vì là 2 chip nhân đôi ghép chung. Intel® Core™ i7 đã chính thức ra mắt tại Việt Nam vào ngày 25.11.2008. Core i7 Extreme Giới thiệu: Thời gian gần đây những người đam mê công nghệ máy tính trên toàn thế giới đã được nghe nói rất nhiều về thế hệ CPU tiếp theo của Intel có tên mã là Nahalem – và có tên gọi chính thức là Core i7 – i7, con số 7 là con số may mắn và con số 7 này liệu có làm nên thành công tiếp theo của Intel hay không??? Điều này chỉ có tương lai mới có thể trả lời, nhưng với khả năng về CPU hoàn hảo và khả năng tài chính vững mạnh của Intel, cũng với việc Intel đã vừa có một thế hệ CPU cực kỳ nổi tiếng là thế thệ Duo Core và Core 2 Duo, thì việc tạo ra Core i7 là một sản phẩm thành công chắc không phải là một điều quá khó. Những điểm nổi bật nhất của Core i7: • Sử dụng công nghệ chế tạo 45nm • Có từ 02 đến 08 core - Native core (Hỗ trợ công nghệ HyperThreading với tên gọi mới là SMT - Simultaneous Multi-Threading) • Tích hợp Memory Controller, hỗ trợ Triple-Channel DDR3 . • 256KB Cache L2 cho mỗi lõi • 8MB Cache L3 • Tập lệnh SSE 4.2 mới với 07 lệnh mới • Turbo Mode ( tự động Overclock ) • Nâng cấp vi cấu trúc ( hỗ trợ Maro-Fusion 64-bit , cải tiến Loop Stream Detector , 06 cổng gửi dữ liệu – Dispatch …) . • Cải tiến Bộ phận Dự đoán rẽ nhánh bằng cách thêm BTB thứ hai ( Branch Target Buffer ) • Tối ưu hoá những lệnh SSE không thẳng hàng . • Cải thiện hiệu suất ảo hoá . Theo Intel thời gian trễ giảm đi 65% so với bộ vi xử lí 65nm Core 2 và giảm 20% so với 45nm Core 2 . • Bus ngoài QPI ( QuickPath Interconnect ) mới . • Bộ phận điều khiển năng lượng mới . • Công nghệ sản xuất hiện tại là 45nm và những Model tương lai sẽ là 32nm có tên mã “Westmere” . • Socket mới với 1366 chân . Để hiểu và nói về những công nghệ mới mà CPU này mang lại có lẽ không bao giờ là đủ, chúng ta cần rất nhiều thời gian để tìm hiểu cũng như các hãng sản xuất phần mềm có thể theo kịp và tận dụng triệt để những công nghệ mới đó. Chính vì vậy mục tiêu của bài Review này sẽ tập trung vào khả năng hoạt động thực tế và cơ bản nhất của Core i7 bằng cách test qua những chương trình benchmark và qua một vài ứng dụng game 3D, hy vọng qua bài review này sẽ góp phần sẽ đem lại một ít thông tin để nhiều người hiểu hơn về khả năng hoạt động của Core i7. (Hệ thống Core i7) 2 hệ thống dùng để kiểm tra và so sánh Hệ thống Core i7: CPU: Intel Core i7 965 Extreme Editon 3,2GHz Motherboard: Intel Smackover DX58SO RAM: Qimonda 3x 1GB DDR3-1200 (7-7-7-20) Vid Card: HIS 4870x2 2GB GDDR5 HDD: Intel SSD 80GB SATA2 PSU: Dual PSU Antec 650W + 550W Hệ thống đối chứng Q9550: CPU: Intel Core2Quad Q9550 Main: DFI X48-T2RS RAM: Cosair DDR2 bus 800MHz 2x1GB Vid Card: HIS 4870x2 2GB GDDR5 HDD: Samsung 80GB SATA2 PSU: Antec TruPower Quattro 1000W Tất cả các phép thử đều được làm liên tiếp 3 lần liên tục (lấy kết quả trung bình) Hệ điều hành được sử dụng là Vista SP1 (32bit) Driver Catalyst 8.10 HotFix cho ATI 4870X2 Một số kết quả benchmark: 1- Everest Ultimate Bảng kết quả tổng kết Benchmark dành cho CPU Benchmark dành cho Ram (gồm tốc độ đọc, ghi … phép thử này giúp chúng ta hiểu rõ hơn tác dụng của việc tích hợp memory controller) (Mem Letancy - điểm thấp tốt hơn) Dễ dàng nhận thấy hệ thống Corei7 nhanh gần như gấp đôi hệ thống còn lại trong hầu hết các phép thử. Các phép thử về FPU (floating point unit). FPU: Đc thiết kế đặc biệt để hỗ trợ các thao tác tính toán với số dấu chấm động floating point numbers). 2 – Cinebench R10 (Render - điểm cao tốt hơn, Time - điểm thấp tốt hơn) 3 – Winrar (chương trình nén file thông dụng, nén 1 file dụng lượng 449Mb) Chương trình này tận dụng triệt để xung nhịp của CPU (Winrar - điểm thấp tốt hơn) 4 – PC Mark Vantage (CPU Bench) (Mục HDD không nên chú ý kết quả quá bởi vì hệ thống Corei7 sử dụng ổ SSD) 3Dmark Vantage (CPU Bench) Chương trình 3DMark Vantage giúp chúng ta có thể đánh giá tổng quan về GPU và CPU một cách rõ nét nhất. Bench 3DMark Vantage - Performance. 6 – Crysis benchmark Độ phân giải: 1280x1024 AntiAliasing: 16xQ DirectX 10 All setting: Very High 7 – FarCry2 Độ phân giải: 1280x1024 AntiAliasing: 8 DirectX 10 All setting: Very High 8 – Super PI 1.5 (Super PI - Điểm thấp tốt hơn) Kết luận: Có thể thấy Core i7 đã thành công về mặt sức mạnh và cũng là thành công của Intel với cấu trúc CPU mới, với tốc độ mặc định của cả hai hệ thống thì Core i7 extreme đã vượt khá xa Q9550 trong tất cả các tác vụ benchmark về hoạt động cơ bản cũng như trong cả ứng dụng render, game 3D. B. Intel Core i7 940 Hệ thống thử nghiệm có cấu hình như sau Intel Core i7 940 2.93GHz 3Gb RAM DDR3 NVIDIA GeForce 9800 GTX Sau đó so sánh kết quả với một số khác cho tôi rigs vay của Intel và AMD (Intel Core 2 Duo E7200@2.53GHz, bộ xử lý AMD Phenom II 9950 X4@2.6GHz và Intel Core 2 Quad Q6600@2.4GHz mà tôi sở hữu). SuperPi 2M: Q6600: 52 giây Core i7 940: 29 giây Super Pi 32m: Q6600: 23 phut. 9 giây. Core i7 940: 12 phut. 17 giây. Với SuperPi 2M và 32m, thì Core i7 940 Trung giữa 79% đến 88% tốt hơn so với Q6600. Việc thực hiện tốt hơn Core i7 dưới căng thẳng cao hơn với SuperPi 32m. Điểm PCMarks Intel Core 2 Duo E7500: 3633 Intel Core 2 Quad Q6600 2,4 GHz: 3774 Intel Core i7 940 2.93GHz: 5397 AMD Phenom x4 9950 2.6GHz: 4159 Với máy tính nhãn hiệu, các Core i7 940 thực hiện tốt hơn 43% so với Q6600 và 30% tốt hơn Phenom 9950 x4. 2. AMD CPU 2.1 Sơ lược về Advanced Micro Devices (AMD) AMD - Advanced Micro Devices là một công ty chuyên về sản xuất các chất bán dẫn Hoa Kì. Công ty có đại bản doanh ở Sunnyvale - California này được thành lập năm 1969 do Jerry Sanders và nhóm nhân viên cũ của Fairchild Semiconductor sáng lập, bao gồm Jerry Sanders, Ed Turney, John Carey, Sven Simonsen, Jack Gifford và ba thành viên của Gifford's team là Frank Botte, Jim Giles, và Larry Stenger. Hiện nay chủ tịch hội đồng quản trị và là tổng giám đốc là Tiến sĩ Hector Ruiz, chủ tịch tập đoàn và là giám đốc điều hành là ngài Dirk Meyer. Sunnyvale, bang California, Mỹ - Đại bản doanh của AMD AMD là nhà cung cấp lớn thứ hai thế giới về các bộ xử lý máy tính (CPU) trên nền x86. Đồng thời cũng là nhà cung cấp card đồ họa và bộ xử lý đồ họa (GPUs) lớn thứ ba thế giới kể từ khi nắm quyền sở hữu công ty ATI Technologies vào năm 2006. AMD cũng nắm giữ 21% cổ phần trong Spansion, một nhà cung cấp bộ nhớ flash không thay đổi (non-volatile). Năm 2007, AMD đứng thứ 11 trong các nhà SX chất bán dẫn hàng đầu thế giới. Một số nét về lịch sử đối đầu giữa AMD - Intel 1968: Intel được thành lập bởi Bob Noyce và Gordon Moore. 1969: AMD được Jerry Sanders và nhóm nhân viên cũ của Fairchild Semiconductor sáng lập. Đầu những năm 80: IBM chọn sử dụng chip x86 của Intel và hệ điều hành phần mềm DOS của Microsoft. Để tránh quá phụ thuộc vào chip của Intel, IBM yêu cầu hãng này tìm cho mình một nhà cung cấp thứ hai. 1982: Intel và AMD ký thỏa thuận trao đổi công nghệ, biến AMD thành nhà cung cấp thứ hai. Hợp đồng này tạo cơ hội cho AMD tiếp cận công nghệ chip thế hệ hai 286 của Intel. 1984: Intel lập kế hoạch để phát triển độc lập chip 386 thế hệ ba, mà AMD khẳng định đó chính là một phần trong kế hoạch bí mật của Intel nhằm độc quyền chip máy tính. 1987: AMD trình văn bản pháp lý tranh chấp chip 386. 1991: AMD khởi tố Intel thực hiện những hành động trái pháp luật trong việc duy trì độc quyền. 1992: Tòa án yêu cầu Intel bồi thường AMD 10 triệu USD cho mỗi mẫu sáng chế Intel sử dụng trong thiết bị xử lý 386. 1995: AMD tiếp tục cuộc tranh chấp với Intel về thoả thuận chia sẻ một phần trong thiết kế chip x86 - cấu trúc chip cơ bản trong máy tính cá nhân ngày nay. 1999: Giành được quyền tự phát triển chip x86, AMD tạo ra phiên bản mới của x86 - chip Athlon. 2000: AMD kiện lên Ủy ban châu Âu rằng Intel xâm phạm nghiêm trọng luật chống cạnh tranh khi việc lạm dụng các chương trình tiếp thị. AMD tiến hành cuộc chiến này nhằm mục đích tiếp cận tài liệu trong vụ kiện chống độc quyền mà Intel phải trả cho Intergraph 225 triệu USD cho chip Itanium. 2003: AMD tạo nên bước đột phá lớn khi giới thiệu phiên bản 64 bit của chip x86, chạy trên Windows, đánh bại Intel. Đây là lần đầu tiên hãng chip số một thế giới phải rượt đuổi sau AMD để phát triển công nghệ tương tự. AMD ra mắt dòng chip Operton cho hệ thống máy chủ siêu mạnh, dòng Athlon cho máy tính để bàn và xách tay. 2004: JFTC thanh tra văn phòng đại diện của Intel tại Nhật. Intel tỏ ra hợp tác nhưng không tán thành kết luận JFTC rằng họ đã cạnh tranh thiếu lành mạnh qua việc hạ giá thành cho 5 nhà sản xuất máy tính Nhật là Fujitsu, Hitachi, NEC, Sony và Toshiba nếu những hãng này đồng ý không mua hoặc hạn chế mua chip của AMD và Transmeta. 2005: AMD tiến hành cuộc chiến chống độc quyền với Intel tại tòa án bang Delaware. Sáp nhập với ATI AMD thông báo về việc sáp nhập với ATI vào ngày 24 tháng bảy, 2006. AMD đã trả 3,4 tỉ USD tiền mặt và 58 triệu cổ phiếu của họ để mua lại ATI, tổng giá trị của hợp đồng lên đến 5,4 tỉ USD. Việc sáp nhập hoàn tất vào ngày 25 tháng 11, 2006 và bây giờ ATI đã là một phần của AMD. Chế tạo và Sản xuất (Production and fabrication) Tất cả các bộ xử lý của AMD đều được sản xuất ở các nhà máy chế tạo chất bán dẫn (semiconductor Fabrication Plants ) của riêng họ , được gọi là “FABs”. AMD quy ước đặt tên những nhà máy của họ là “FAB x”, trong đó “x” là số năm tính từ khi thành lập AMD đến khi FAB đi vào hoạt động (ví dụ FAB 36, nơi đang nghiên cứu chế tạo Deneb 45nm). Trong các nhà máy của họ, AMD sử dụng một hệ thống gọi là Automated Precision Manufacturing – APM (tạm dịch là hệ thống chế tạo chính xác và tự động hóa). APM là tập hợp các công nghệ sản xuất mà AMD đã nghiên cứu trong suốt quá trình phát triển của mình (nhiều công nghệ trong số này đang được AMD giữ bằng sáng chế), chúng được thiết kế để tăng cường cho quá trình sản xuất bộ vi xử lý, chủ yếu về mặt hiệu suất. AMD hiện đang có một thỏa thuận hợp tác sản xuất với Chartered Semiconductor Manufacturing có trụ sở ở Singapore, cho phép Chartered có thể tiếp cận với quy trình công nghệ APM của AMD, đổi lại Chartered sẽ giúp AMD tăng cường năng lực sản xuất nhờ vào các nhà máy của mình. Thông qua việc sở hữu ATI, AMD cũng có những hợp đồng sản xuất với TSMC để sản xuất ra các loại chipset và BXL đồ họa ATI. Hiện tại không rõ sẽ có những yếu tố (manufacturing needs) nào của ATI sẽ được chuyển về sản xuất tại các FAB riêng của AMD và những yếu tố nào sẽ sẽ do các đối tác của họ đảm nhận việc chế tạo. Nhưng AMD đã thông báo kế hoạch trong tương lai những bộ xử lý của họ sẽ do TSMC phụ trách, và có một sự trùng hợp khi TSMC cũng thông báo về những đơn đặt hàng chế tạo các bộ xử lý x86 mà họ nhận được. Những nhà máy hiện tại Những nhà máy thiết kế và chế tạo bộ xử lý chính của AMD nằm ở vùng Dresden, phía đông Đức. Ngoài ra các bộ vi xử lý tích hợp cao (highly integrated microprocessors ) cũng đang được sản xuất bởi bên thứ ba (third-party manufacturers) dưới sự cấp phép (license) của AMD. Từ năm 2003 đến 2005, AMD cũng đã xây dựng nhà máy bán dẫn thứ hai (chế tạo wafer 300mm dùng công nghệ 90nm SOI) trong cùng khu liên hợp để tăng sản lượng chip và nhờ đó sẽ có sức cạnh tranh hơn với Intel. Nhà máy mới này được mang tên “FAB 36”, nhằm kỉ niệm 36 năm ngày AMD ra đời, và đi vào sản xuất vào giữa năm 2007. AMD cũng đã thông báo họ đã hoàn tất việc chuyển đổi đổi công nghệ từ 90nm sang 65nm ở FAB 36 và hiện nhà máy cũng đang tiến hành nghiên cứu thử nghiệm công nghệ 45nm. AMD cũng đang lên kế hoạch mở rộng quy mô sản xuất của họ. Ngoài việc hoàn thành FAB 36, AMD cũng nâng câp FAB 30 (gần kề FAB 36) ở Dresden từ công nghệ 200mm 90nm SOI sang 300mm 65nm SOI và đổi tên nhà máy thành FAB 38. Ban đầu FAB 30 được cho là nơi bắt đầu chế tạo các sản phẩm công nghệ 65nm vào năm 2007, tuy nhiên AMD đã thông báo trì hoãn việc nâng cấp để giảm thiểu phí tổn. AMD Saxony ở Dresden, Đức, nơi sản xuất ra các tấm wafer chính của AMD Các nhà máy lắp ráp và kiểm định chip bán dẫn của AMD được đặt ở Singapore, Malaysia và Trung Quốc. Bên cạnh đấy, AMD cũng dự định mở một nhà máy 3,2 tỉ USD ở khu công nghệ Luther Park, Stillwater, New York. Nhà máy FAB “4x” này sẽ sản xuất nên các sản phẩm 300mm 32nm SOI, việc xây dựng sẽ tiến hành từ năm 2009 đến 2010. Một số thông tin cho thấy nhà máy sẽ sử dụng công nghệ cổng high-k metal mà AMD tiếp thu được từ IBM. AMD cũng sẽ xây dựng FAB City đầu tiên của Ấn Độ, một nhà máy sản xuất chip bán dẫn với vốn đầu tư khoảng 3,2 tỉ USD. Tháng Sáu 2006, Chartered Semiconductor trở thành nhà phân phối các bộ xử lý của AMD, nhiều trong số chúng được gửi từ Singapore sang Đài Loan và Trung Quốc dưới dạng OEM/ODM cho các công ty lắp ráp máy tính như Levono hay Dell. AMD vẫn đang duy trì các nhà máy thiết kế chính của họ ở Fort Collins (Colorado), Sunnyvale (California), Austin (Texas), Boxborough (Massachusetts ), và Bangalore (Ấn Độ). Với việc sở hữu ATI Technologies, công ty có được thêm quyền sở hữu các nhà máy thiết kể ở Markham (bắc Toronto), và Santa Clara (California). Các đối tác AMD tận dụng các quan hệ đối tác chiến lược nhằm đẩy lùi thế thống trị độc tôn của Intel, Một trong các đối tác đó phải kể đến nVIDIA với dòng chipset nForce cho hệ thống AMD. AMD cũng hợp tác với hãng Alpha Processor trong việc nghiên cứu Hyper Transport, một chuẩn cung cấp kết nối tốc độ cực nhanh theo kiểu điểm đến điểm để kết nối các thành phần trên mainboard, được ứng dụng trong những lĩnh vực đòi hỏi dữ liệu được truyền đi với cường độ cao, tốc độ lớn và độ trễ nhỏ. Hiện công nghệ này đang được dùng trong các mainboard tương thích với bộ xử lý AMD. AMD cũng thiết lập quan hệ đối tác chiến lược với IBM, giúp AMD có thể tiếp cận được các công nghệ mới như công nghệ sản xuất SOI (silicon on insulator), công nghệ 90nm,...Thỏa thuận hợp tác này được AMD thông báo sẽ kéo dài đến năm 2011, qua đó IBM sẽ tiếp tục hỗ trợ AMD trong việc nghiên cứu phát triển công nghệ 32nm và 22nm.Bên cạnh đó AMD cũng có quan hệ hợp tác với các hãng lắp ráp máy tính như HP, Compaq, ASUS, Alienware, Acer, Evesham Technology, Dell,... Vào ngày 18 tháng 5 năm 2006, Dell công bố việc phát hành các dòng máy chủ nền tảng chip Opteron của AMD, chấm dứt nhiều năm hợp tác độc quyền với Intel. Dell cũng tung ra thị trường các dòng desktop xử dụng BXL Athlon X2 vào tháng Chín, 2006. AMD cũng là nhà tài trợ cho đội đua xe công thức 1 Scuderia Ferrari Marlboro từ năm 2002 và đội đua xe đạp Discovery Channel Pro từ năm 2004. 2.2 Các thế hệ bộ xử lý AMD x86 8086, Am286, Am386, Am486, Am5x86 Đây là các bộ xử lý nhái theo kiến trúc x86 của Intel, được sản xuất theo những thỏa thuận về bản quyền kéo dài 17 năm giữa Intel và AMD. Thỏa thuận này cho phép AMD trở thành nhà cung cấp chip dự phòng của Intel trong trường hợp nhu cầu vượt quá khả năng cung cấp. Điều tồi tệ đã xảy ra với AMD khi Intel chấm dứt thỏa thuận cấp phép trước thời hạn bởi Intel đã quá mạnh để không cần tới những nguồn dự phòng như AMD nữa. Cuối cùng, AMD buộc phải tự đứng lên. AMD K5 AMD phát hành bộ xử lý K5 – bộ xử lý x86 đầu tiên của riêng họ vào năm 1996. Chữ “K” là viết tắt của từ “Kryptonite”, một loại đá hư cấu được xem có thể gây hại cho Siêu Nhân trong truyện tranh Superman. Nó ám chỉ đến Intel, được xem là Superman của nền công nghiệp chip bán dẫn, vốn đang thống trị thị trường vào thuở ấy. Trong nhiều năm AMD cũng như Cyrix đã sản xuất những bộ vi xử lí 286,386 và 486 mà có nguồn gốc trực tiếp từ thiết kế của Intel . K5 là sản phẩm bộ vi xử lí độc lập đầu tiên của AMD cho dòng sản phẩm CPU x86 , nó là một trong những hy vọng vào sự thành công của AMD . Trong thời gian đó K5 có một thành công giới hạn nhưng đó là một cơ hội cho những bộ vi xử lí mà AMD tự thiết kế . AMD K6 K6 được bắt đầu bằng sự ra đời bởi Nx686 , nó được đổi tên sau khi AMD mua lại NextGen . NextGen đã tham gia thị trường CPU bằng bộ vi xử lí theo Socket của họ nhưng AMD đã thay đổi thiết kế để vừa với khe cắm chuẩn Socket 7 . K6 là bộ vi xử lí tương thích với MMX được phát hành giữa năm 1997 , một vài tuần sau khi được đưa ra thị trường K6 đã vượt lên trên cả Cyrix 6x86MX và ngay lập tực được thị trường chấp nhận . Được sản xuất dựa trên công nghệ 350nm , xử lí 5 lớp và K6 nhỏ hơn 20% so với Pentium Pro và nhiều hơn 3.3 triệu transistor ( 8.8 triệu so với 5.5 triệu transistor ) . K6 có bộ nhớ Cache L1 64KB bao gồm 32KB cache lệnh và 32KB cache Writeback . Nó nhiều gấp 4 lần so với Pentium Pro và gấp 2 lần so với Pentium MMX và Pentium II. K6 hỗ trợ công nghệ MMX của Intel , bao gồm 57 lệnh mới x86 được thiết kế để tăng tốc độ tính toán đối với những chương trình Multimedia . Cũng như Pentium Pro K6 được thiết kế dựa trên tập lệnh RISC ( Reduced Instruction Set Computer ) . RISC86 của AMD có vi cấu trúc Superscalar ( thực hiện được những lệnh một cách liên tục bên trong bộ vi xử lí , ví dụ một lệnh đang được thực hiện thì một lệnh khác đang được giải mã , một lệnh khác nữa đang được phân tích để chuyển tới những mạch logic tương ứng ) . K6 có thêm những công việc OOO , đổi tên thanh ghi ( Register Renaming ) , dự đoán rẽ nhánh ( branch prediction ) , thực hiện những phép tính suy đoán (speculative )... K6 có những phiên bản 166MHz , 200MHz và 233MHz . Hiệu năng tính toán của nó tương đương với Pentium Pro có cùng tốc độ xung nhịp mà có bộ nhớ cache L2 512KB . Nó cũng có đặc điểm chung với CPU của Cyric là những phép tính dấu phảy động kém hơn so với CPU của Intel ( Pentium Pro , Pentium II ) . Quá trình xâm nhập những sản phẩm K6 của AMD từ năm 1997 đến trước năm 1998 và AMD đã phát triển công nghệ sản xuất CPU dựa trên 250nm và phát triển K6 với tốc độ 266MHz và 300MHz. AMD K6-2 Bộ vi xử lí AMD K6-2 có 9.3 triệu transistor được sản xuất trên công nghệ 250nm , xử lí 5 lớp . Bộ vi xử lí được đóng gói kiểu CPGA (ceramic pin grid array) 321 chân . K6-2 là sự cải tiến trong vi cấu trúc RISC86 , bộ nhớ Cache L1 84KB ( bộ nhớ Cache dữ liệu 32KB Dual Port , bộ nhớ cache lệnh 32KB , thêm 20KB cache Predecode ) , cải tiến Execution Unit liên quan đến những phép tính dấu phảy động . Tốc độ tính toán liên quan đến tập lệnh MMX so với CPU tương ứng của Intel thì kém hơn một chút . Đầu năm 1999 bộ vi xử lí nhanh hơn với tốc độ 450MHz . Trong CPU K6-2 thuộc thế hệ sau có sử dụng công nghệ 3DNow! , 21 lệnh mới nâng cao so với những lệnh chuẩn MMX để tăng cường tính toán những ứng dụng 3D . Đầu năm 2001 K6-2 tốc độ 550MHz được phát hành có tốc độ cao và là bộ vi xử lí cuối cùng cho dòng khe cắm Socket 7. Sau đó được thay thế bằng một dòng mới cho máy tính để bàn : bộ vi xử lí Duron. Công nghệ 3DNow! Cùng một lúc với phát hành phiên bản K6-2 , vào tháng 5 năm 1998 , AMD đã lấy một phần tương tự như công nghệ Katmai của Intel mà được phát hành cho tới cuối năm sau . Vào cuối tháng 3 năm 1999 , AMD đã tích hợp công nghệ 3DNow! vào K6-2 , làm tăng hiệu quả của PC và đã bán được 14 triệu đơn vị trên toàn thế giới . Bằng việc cải tiến bộ vi xử lí có khả năng tính toán dấu phảy động mạnh , công nghệ 3DNow! kèm theo làm tăng hiệu quả tính toán của CPU với những phép tính đồ hoạ và những chương trình Multimedia . Quá trình xử lí đồ hoạ sử dụng Pipeline có 04 tầng bao gồm : * Physics : CPU thực hiện những tính toán tập trung liên quan đến dấu phảy động để tạo nên những mô phỏng của thế giới thực và những vật thể bên trong nó . * Geometry - hình học : nó là sự tính toán những thuộc tính cơ bản của mỗi điểm của vật thể trong không gian 3 chiều . Những thuộc tính bao gồm : toạ độ XYZ , giá trị màu RGB , hêk số phản chiếu .... * Setup : CPU bắt đầu xử lí để tạo nên những hình ảnh 3D theo luật phối cảnh . Những lệnh bao gồm liên quan đến hình dáng , kích cỡ , vị trí ... * Rendering : cuối cùng , bộ phận tăng tốc đồ hoạ cung cấp hình ảnh thực để PC đưa lên màn hình ,tính toán từng pixel : màu sắc , độ sáng tối , vị trí . Mỗi một lệnh 3DNow! điều khiển hai phép toán liên quan đến dấu phảy động và vi cấu trúc K6-2 cho phép thực hiện 02 lệnh 3DNow! trong một chu kì xung nhịp đồng hồ như vậy tổng cộng nó thực hiện được 04 lệnh liên quan đến dấu phảy động trong một chu kì xung nhịp đồng hồ . Trong thiết kế bên trong K6-2 có những thành phần Multimedia để tính toán những lệnh MMX , cùng với 3DNow! cả hai kiểu có thể thực hiện công việc tính toán một cách liên tục . Tất nhiên trong Card đồ hoạ đã có phần cứng để tăng tốc quá trình tính toán nhưng đối với những phép tính liên quan đến dấu phảy động còn phải tính toán rất nặng nề . Trong cấu trúc của Intel dùng Pentium II và Celeron cũng có những phép tính hỗ trợ đến phần Trangle SetUp và AMD , Cyrix , IBM còn phải đi sau . Những lệnh 3DNow! mới cũng cần bằng một phần nào của những phép toán dấu phảy động Single Instruction Multiple Data (SIMD) để tăng hiệu quả tính toán hình học 3D và mã hoá MPEG. Ứng dụng rộng rãi của công nghệ 3DNow! cho phép Cyrix và IDT/Centaur sử dụg trong những bộ vi xử lí của họ . AMD K6-III Tháng 2 năm 1999 , AMD thông báo bắt đầu bán ra thị trường bộ vi xử lí AMD K6-III 400MHz có tên mã sản phẩm "Sharptooth" và có mẫu sản phẩm 450MHz OEM . Một điểm nhấn trong bộ vi xử lí mới này là thiết kế "TriLevel Cache ". Những máy tính truyền thống trước kia , CPU có 02 mức bộ nhớ Cache : * Cache mức 1 ( Level 1) thông thường nằm bên trong Silicon của CPU . * Cache mức 2 ( Level 2) nămg ở trên Mainboard hoặc là một Module hoặc nằm trên bảng đi liền với CPU . Trong thiết kế bộ nhớ cache có một số quy tắc như : dung lượng lớn hơn , kích thước nhỏ hơn , tốc độ nhanh hơn để tăng hiệu quả tính toán của CPU mà không cần trực tiếp truy cập vào bộ nhớ chậm của hệ thống RAM ( tăng Cache Hit ) . Nhận ra tầm quan trọng của bộ nhớ Cache , AMD giới thiệu "TriLevel Cache" , thiết kế để tăng hiệu quả tính toán của CPU dựa trên nền Super7 : * Bộ nhớ cache L2 Write-back ( dữ liệu được ghi vào Cache bằng CPU mà không ghi vào bộ nhớ của hệ thống RAM ) hoạt động cùng tốc độ xung nhịp bên trong của CPU AMD K6-III và bổ sung cho bộ nhớ Cache L1 64KB mà được chuẩn hoá trong tất cả bộ vi xử lí của AMD . * Thiết kế bộ nhớ Cache bên trong có nhiều cổng ( multiport ) , cho phép đọc , ghi 64-bit vào bộ nhớ Cache L1 và L2 một cách liên tục . * Có 04 đường để kết hợp bộ nhớ Cache L2 cho phép tối ưu việc quản lí dữ liệu và nâng cao hiệu quả Cache Hit. * FSB 100MHz , Mainboard Super7 có thể thiết kế bộ nhớ Cache từ 512KB mở rộng tới 2MB . CPU AMD K6-III thiết kế Cache bên trong Multiport cho phép cả hai 64KB Cache L1 và 256KB Cache L2 thực hiện liên tục đọc , ghi 64-bit trong một chu kì đồng hồ xung nhịp . Multiport có khả năng cho phép dữ liệu xử lí nhanh hơn và hiệu quả hơn thiết kế Non-port . Nhân AMD K6-III có thể truy cập cả hai cache L1 và L2 liên tục làm cho hiệu quả hoạt động CPU nâng cao . AMD tuyên bố với cấu hình Cache mức 3 , Level3, K6-III có kích thước Cache so với Pentium III của Intel là 435% . AMD K6-III xuất hiện trong một thời gian ngắn , trong vài tháng sau AMD đưa ra bộ vi xử lí thành công vang dội đó là Athlon. AMD Athlon (K7) AMD Athlon được giới thiệu mùa hè năm 1997 , nó là sản phẩm tiêu biểu của AMD . Nó là niềm kiêu hãnh của AMD đối với sản phẩm đầu tiên thuộc thế hệ thứ 7 của bộ vi xử lí . Cấu trúc của nhân Athlon bao gồm những thành phần tốt nhất được kế thừa trong Pentium II/III và K6-III , ngoài ra trong nó còn có những công nghệ mạnh hơn CPU của Intel vào thời điểm đó . Từ Athlon được xuất phát từ tiếng Hy lạp cổ có nghĩa là " chiến lợi phẩm " hoặc " của trò chơi" và Athlon là bộ vi xử lí mà AMD tìm kiếm để trở thành đối thủ thật sự trong mảng thị trường yêu cầu máy tính có sức tính toán mạnh hoặc cho những người chơi Game. Bộ vi xử lí có kích thước 102mm2 , gần 22 triệu transistor , nó có một số thành phần như sau : * Multiple Decoder : phần giải mã (Decoder) có 03 đường để dịch những lệnh x86 thành MacroOPs có độ dài cố định để nâng cao hiệu quả xử lí lệnh . Thay thế việc thực hiện những lệnh x86 có độ dài lệnh khác nhau từ 1 byte tới 15 byte , Athlon xử lí những MacroOps có độ dài cố định . * Instruction Control Unit (ICU) - điều khiển lệnh : những lệnh MacroOPs đã được giải mã được gửi đến Instruction Control Unit (ICU) với tốc độ 03 MacroOP một chu kì xung nhịp đồng hồ . ICU có thể tiếp nhận 72 MacroOPs , ROB (reorder buffer) quản lí việc thực hiện lệnh và những lệnh đã được thực hiện của tất cả MacroOPs thực hiện việc đổi tên thanh ghi (register renaming) cho những phép toán . ICU gửi những MacroOPs tới những Execution Unit phù hợp để tính toán . * Execution Pipeline : Athlon bao gồm có 18 đầu vào thực hiện những MacroOPs liên quan đến những phép tính số nguyên và những địa chỉ bộ nhớ , có 36 đầu vào để thực hiện những MacroOPs liên quan đến những phép tính dấu phảy động (FPU), MMX , 3DNow!. Những Scheduler gửi những lệnh MacroOPs tới 09 Execution Pipeline ( 03 cho tính toán liên quan đến số nguyên , 03 tính toán liên quan đến địa chỉ , và 03 những phép tính liên quan đến MMX , 3DNow! và những tính toán dấu phảy động x87 ) . * Superscalar FPU : những bộ vi xử lí trước của AMD khi tính toán về dấu phảy động so với Intel thì kém hơn . Sự yếu kém này đã được giải quyết thoả đáng bên trong Athlon , nó có đặc điểm cải tiến để thực hiển kiểu OOO ( Out Of Order ) đến những Execution Unit (FMUL, FADD, và FSTORE) . Đặc điểm Superscalar cho phép CPU có khả năng thực hiện nhiều hơn một lệnh trong một chu kì xung nhịp đồng hồ , Athlon giới thiệu công nghệ đầu tiên cho hệ thống FPU . CPU sẽ đẩy dữ liệu và những lệnh vào một đường ống ảo ( Virtual pipe ) và những đoạn trong đường ống này được xử lí công việc thực hiện liên tục , đáy của đường ống có khả năng gửi 4 dữ liệu 32-bit . Kết quả nếu một phép tính dấu phảy động cho một chu kì xung nhịp đồng hồ thì tốc đọ xung nhịp 600MHz tương đương với 2.4 Gflops ( Gflops : Gigaflops - 1 tỷ lệnh dấu phảy động / giây ) . * Branch Prediction : CPU Athlon dự đoán những khả năng rẽ nhánh động trong chương trình phần mềm ( như những lệnh : Jump , Call , Return ) để làm giảm thời gian trễ trong những lệnh rẽ nhánh . * System Bus : hệ thống Bus Athlon đầu tiên chạy với tốc độ xung nhịp là 200MHz cho x86 . Dựa trên giao thức của Digital có tên là Alpha EV6 với FSB có thể đạt được 400MHz hoặc cao hơn , không như kiểu Bus chia xẻ SMP (Symmetric Multi-Processing) được thiết kế bên trong Pentium III . * Cache Architecture - cấu trúc Cache : thiết kế cấu trúc bộ nhớ cache của Athlon là một bước tiến quan trọng . Cache L1 có 128KB ( gấp 4 lần so với Pentium III ) và bộ nhớ Cache L2 tốc độ cao có đường dữ liệu 64-bit hỗ trợ 512KB tới 8MB . * Enhanced 3DNow! - 3DNow! mở rộng : trong Pentium III của Intel có Streaming SIMD Extensions , trong Athlon những tập lệnh 3DNow! cũng được bổ sung và nâng cấp . Thêm 24 lệnh mới vào 21 lệnh gốc của 3DNow! trong đó : 19 lệnh để cải tiến liên quan đến những phép toán MMX và ứng dụng truyền dữ liệu của Internet , 05 lệnh mở rộng cho DSP (Digital Signal Processor) Modem , ADLS , Dolby Digital và ứng dụng MP3 . Thiết kế kiểu Athlon đầu tiên sử dụng Slot A , nó tương thích với Slot 1 nhưng giao diện chân cắm khác nhau có nghĩa là Athlon không làm việc với Mainboard Slot 1 . Slot A dựa trên Bus 200MHz và giao thức Alpha EV6 . Nó được cung cấp Chipset tương ứng AMD-750 . Đầu tiên lần lượt ra đòi Athlon với tốc độ 500,550,600 và 650MHz chúng được sản xuất dựa trên công nghệ 250nm . Cuối năm 1999 AMD tăng tốc độ CPU tới 750MHz , , K75 , có nhân là bộ vi xử lí đầu tiên sử dụg công nghệ sản xuất 180nm , 06 lớp . Nó trở thành CPU nhanh nhất trong kiểu x86 trong thời kì đó , cuối cùng Intel đáp trả bằng phát hiành phiên bản Pentium III 800MHz . Đầu năm 2000 , AMD lấy lại vị trí dẫn đầu trong cuộc đua về tốc độ với CPU có tốc độ 800 và 850MHz trong khi Intel đang gặp trở ngại với tốc độ 1GHz . Trên thực tế một vài bộ vi xử lí được phát hành dựa trên nhân K75 bằng việc tăng tốc độ xung nhịp đồng hồ , nhưng có một thất bại nhỏ là giảm tốc độ xung nhịp đồng hồ của bộ nhớ Cache L2 mà không bao giờ vượt qua được tốc độ 350MHz . Cấu trúc này gặp giới hạn như thế cho đến khi ThunderBird xuất hiện. Thunderbird Vào giữa năm 2000 , AMD giới thiệu kiểu nâng cao của bộ vi xử lí Athlon có tên mã là "Thunderbird" . Công nghệ sản xuất Chíp dựa trên xử lí 180nm , nhân mới thay thế K75 ở chỗ từ 512KB Cache L2 nằm bên ngoài CPU bằng 256KB cache L2 tích hợp bên trong CPU và tốc độ xung nhịp bằng tốc độ xung nhịp của CPU . đối với bộ vi xử lí Athlon đầu tiên bộ nhớ cache L2 có tốc độ xung nhịp bằng một phần tốc đọ xung nhịp của CPU . Ví dụ Athlon 1GHz , bộ nhớ Cache L2 có tốc độ xung nhịp đồng hồ cao nhất là 330MHz . Nhờ việc chuyển bộ nhớ Cache L2 vào bên trong CPU mà cho phép AMD đuổi kịp Intel trong những bộ vi xử lí dạng Slot sang kiểu Socket . Việc nâng cao bộ vi xử lí AMD nên hỗ trợ bộ nhớ PC133 và có 06 tốc độ từ 750MHz tới 1GHz trong cả hai kiểu Slot A và Socket A . Việc tích hợp 256KB bộ nhớ Cache L2 bên trong CPu làm cho kích thước vật lí của nó tăng thêm khoảng 20% , 120 mm2 so với 102 mm2 của K75 nhưng vẫn nhỏ hơn kích thước của K5 Athlon đầu tiên ( sử dụng công nghệ sản xuất xử lí 250nm ) 184mm2 . Việc thêm Cache L2 vào bên trong CPU nên tăng số Transitor bên trong CPU lên đến 37 triệu transistor ( thêm 15 triệu transistor ) . Mùa thu năm 2000 , Chipset 760 AMD được đưa ra thị trường , nó hỗ trợ PC1600 ( có FSB là 200MHz ) và PC2100 ( FSB là 266MHz ) DDR SDRAM . Một đặc điểm khác chủa Chipset AMD-760 bao gồm AGP 4x , 04 cổng USB , 8GB địa chỉ bộ nhớ hệ thống cùng với 04 thanh DIMM , hỗ trợ ATA-100 . Trong thời điểm này bộ vi xử lí Athlon chỉ còn kiểu Socket A . Bộ vi xử lí cuối cùng của Athlon dựa trên nhân Thunderbird được phát hành vào mùa hè năm 2001 có tốc độ đạt được 1.4GHz . Sau đó Athlon được thay thế bằng Athlon XP , XP được hiểu là "eXtra Performance" dựa trên nhân mới có tên mã là Palomino. Duron AMD tìm lại vị trí của mình trên dòng Socket 7 dựa trên K6-III ngoại trừ CPU được sử dụng trong máy tính xách tay vào cuối của nửa năm 1999 , những bộ vi xử lí của Intel có tên là Celeron để hướng tới thị trường giá rẻ ( một mảng trong thị trường máy tính ) . Vào khoảng giữa năm 2000 , AMD đã sản xuất bộ vi xử lí có tên là Duron để nhắm tới thị trường giá rẻ này . Duron dựa trên nhiều sức mạnh của bộ vi xử lí Athlon , tên của nó bắt nguồn từ từ La tinh "Durare" có ý nghĩa là " cực kì " . Nó có bộ nhớ Cache 128/64KB cho L1/L2 , cả hai đều được tích hợp bên tỏng CPU , tốc độ xung nhịp đồng hồ 200MHz và hỗ trợ công nghệ Enhanced 3DNows . Bộ nhớ cache 64KB L2 được tách từ 256KB cache L2 của Athlon và CPU Celeron đối thủ của nó có 128Kb . AMD tin răng việc tung ra thị trường Duron hướng tới máy tính giá rẻ có một giá cả và hiệu năng tính toán chấp nhận được và cạnh tranh với đối thủ Intel . Công nghệ sản xuất CPU dựa trên xử lí 180nm cho những sản phẩm Duron đầu tiên , dựa vào nhân Spitfire , có tốc độ 600MHz , 650MHz và 700MHz . Thế hệ thứ hai của Duron , tên mã là "Morgan" ,có tốc độ 900MHz tới 1.3GHz , dựa trên nhân "Thunderbird " Athlon XP 180nm. Thế hệ cuối cùng của Duron có tên là "Applebred" thỉnh thoảng còn gọi là "Appalbred" có tốc độ 1.4GHz , 1.6GHz và 1.8GHz , dựa trên xử lí sản xuất 130nm của "Throughbred" Athlon XP. Duron được kết thúc vào năm 2004 và tiếp theo là Sempron. Palomino Palomino của AMD chỉ là bản nâng cấp của bộ vi xử lí trước Thunderbird mà tập trung vao giảm công suất tiêu hao và kết hợp với vấn đề tản nhiệt . Nó chỉ xuất hiện trong vòng vài tháng trước khi ra đòi một dòng bộ vi xử lí mới để hướng tới sự thay đổi công nghệ và thị trường tiêu thụ . Palomino có 37.5 triệu transistor trên một diện tích 128mm2 , được sản xuất trên công nghệ kết nối bằng đồng và xử lí 180nm , tăng 0.5 triệu /8mm2 khi so sánh với bộ vi xử lí trước . AMD tuyên bố giảm 20% năng lượng sử dụng so với nhân Thunderbird có cùng tốc độ xung nhịp đồng hồ . AMD cũng đưa ra một tên mới gọi là "QuantiSpeed Architecture" để mô tả việc nâng cao khả năng làm việc của Athlon XP có IPC ( Instructions Per Clock - phép đo thực hiện bao nhiêu lệnh trong một chu kì đồng hồ của xung nhịp ) cao hơn Pentium 4 vào lúc đó . Thêm vào đó nó có 03 đặc diểm mới 1. Vấn đề đầu tiên liên quan tới TLB (Transition Lookaside Buffer) TLB là một bộ nhớ nhỏ bên trong bộ vi xử lí , nó là một phần của bộ nhớ cache mà chuyển từ địa chỉ ảo thành địa chỉ vật lí . Sự chuyển như vậy thường rất lớn và phức tạp và cấu trúc dữ liệu để thực hiện chúng thì quá lớn để lưu trữ trong CPU . Thay vào đó chỉ có một vài phần địa chỉ được lưu trữ và CPU có thể truy cập cực nhanh . Nhiều khi TLB cũng được gọi là ATC (Address Translation Cache). Trong bộ vi xử lí nhân Thunderbird TLB Cache lệnh L1 chỉ có 24 đầu vào và TLB cache dữ liệu có 32 đầu vào . Nếu so sánh điều này thì thật không thuận lợi so với Pentium III có 32/72 đầu vào TLB . Palomino đã cân bằng lại vấn đề trên bằngcách cung cấp 24/40 đầu vào TLB L1 và 256/256 đầu vào TLB L2 , nó không thay đổi so với CPU trước . Một điều cải tiến hơn nữa trong Palomino là TLB của L1 và L2 có nội dung không trùng nhau . 2. Trong khi kích thước bộ nhớ cache và vị trí của L1 và L2 không thay đổi , nhưng có một mặt khác là kỹ thuật tìm trước dữ liệu tự động trong Palomino làm việc đồng thời với bộ nhớ Cache của nó . Những công việc này để những dữ liệu mà CPU có thể yêu cầu được chuyển vào trong bộ nhớ Cache từ bộ nhớ chính của hệ thống RAM . 3. Cho đến nay bộ vi xử lí Athlon cũng hỗ trợ một phần công nghệ SSE ( Streaming SIMD Extensions) của Intel . Palomino có 52 lệnh SIMD mới : 21 lệnh SIMD cũ để thực hiện những lệnh "3DNow!" , thêm 19 lệnh thực hiện những lệnh "Enhanced 3DNow!" và những lệnh còn lại để thực hiện lệnh kiểu SSE mà thuật ngữ của AMD gọi là "3DNow! Professional" Chip Palomino được đóng gói kiểu OPGA và thay thế kiểu CPGA nên nó nhẹ và rẻ hơn so với những bộ vi xử lí trước . Bằng việc gắn những tụ điện gắn liền với nhân đặt bên dưới vỏ cho phép khả năng lọc nhiễu tốt hơn . Bằng việc đóng gói vỏ kiểu OPGA dựa trên Socket A 462 chân , có nghĩa là những CPU mới dựa trên Palomino có thể cắm vừa với Mainboard Socket A . Để Mainboard có thể chạy được cần nâng cấp BIOS trên Mainboard đồng thời cho phép Mainboard làm việc với FSB bằng với FSB của CPU với tốc độ xung nhịp 133MHz . Từ khi PC ra đời từ năm 1980 , người sử dụng đã quen với khái niệm PC chạy tốc độ cao đồng nghĩa với tần số xung nhịp đồng hồ cao hơn . Nó chính là thước đo về hiệu quả của PC trên cùng một cấu trúc giống nhau . Điều này đã thay đổi cho đến khi những bộ vi xử lí Pentium 4 của Intel và Athlon của AMD cuối những thập kỉ 1990 khi họ thiết kế cấu trúc CPU dựa trên những nền tảng khác nhau . Những công ty đó thiết kế CPU chạy trên những tốc độ xung nhịp không đồng nhất . Một trong những nguyên nhân đó chính là đơn vị IPC ( Instructions Per Clock : thực hiện bao nhiêu lệnh trong một chu kì đồng hồ ) . Đó chính là nguyên nhân để so sánh hiệu quả hoạt động của những CPU khác nhau phải dựa trên những chỉ số Benchmark . Ví dụ kiểu Athlon XP dựa trên chỉ số 14 Benchmark khi thực hiện 34 ứng dụng khác nhau về : tính toán hình ảnh , tính toán Game và sử dụng các chương trình văn phòng . Họ XP gồm có kiểu :1500+ , 1600+ , 1700+ ,1800 + tương ứng với tốc độ xung nhịp đồng hồ 1.33GHz , 1.40GHz, 1.47GHz và 1.53GHz . Trong chiến lược của AMD về việc cung cấp ra thị trường những bộ vi xử lí như sau : Đầu tiên là Palomino sau đó giữa năm 2001 là CPU cho máy tính xách tay ,tiếp theo là CPU cho hệ thống máy chủ Athlon MP ( Dual Processor) và Duron cho dòng máy để bàn giá rẻ . Morgan Morgan là tên của nhân thuộc họ Duron đã thành công khi xuất hiện giữa năm 2000 trong thị phần của dòng PC giá rẻ cạnh tranh với Celeron của Intel . AMD tuyên bố đó là CPU có giá thấp nhất nhưng hiệu quả nhất trong dòng PC giá rẻ . Morgan chính là một phần của dòng CPU hiện thời được đem lại từ nhân Palomino . Nó bộ nhớ Cache L2 là 64KB . Tất cả những đặc điểm mới của Palomino vẫn được có trong Morgan với khích thước CPU là 106mm2 và 25.18 triệu transistor . Điện áp hoạt động của Duron dựa trên nhân Morgan là 1.75V giống như Palomino . Thoroughbred Mùa hè năm 2002 , AMD bắt đầu bán bộ vi xử lí cho máy tính để bàn đầu tiên được sản xuất dựa trên xử lí 130nm . Bộ vi xử lí có kích thước 80mm2 so với 128mm2 đối với CPU đời trước đó . Kế hoạch chuyển đổi dòng CPU Athlon sang kiểu Chip sử dụng công nghệ sản xuất 130nm cho đến cuối năm 2002 . Trên thực tế nhan mới này không thay đổi về cấu trúc , nó không nhanh hơn so với Palomino khi chạy cùng tốc độ xung nhịp . Nó yêu cầu điện áp làm việc với nhân là 1.65V so với CPU trước là 1.75V và là một thuận lợi để cạnh tranh với Intel trong khía cạnh này . Pentium 4 của Intel có kích thước 128mm2 và giá thành sản xuất đắt hơn . Barton Vào tháng 2 năm 2003 , AMD lần đầu tiên giới thiệu bộ vi xử lí mới với nhân Athlon XP và có tên mã " Barton " . Chip Athlon 3000+ có những đặc điểm của bộ vi xử lí Athlon XP trước , ngoài ra nhân mới Barton được thiết kế để sử dụng bộ nhớ RAM nhiều hơn . Barton có bộ nhớ Cache L2 nhiều hơn , 512KB , và có tốc độ Full-speed như với Thunderbird . Do có kích thước bộ nhớ Cache L2 lớn hơn nên kích thước vỏ của CPU cũng lớn hơn , 101mm2 , và có 54.3 triệu transistor . Với kích thước này lớn hơn so với Thoroughbres , 54.3 triệu transistor / 84mm2 , như vậy giá thành sản xuất của Barton cao hơn so với những bộ vi xử lí trước . Mặc dù có kích thước lớn , nhưng nhân Barton có tốc độ truy cập bộ nhớ hệ thống RAM nhanh hơn , FSB là 166MHz và 333MHz ( 166 x 2 ) được dùng để thay thế cho những bộ vi xử lí Athlon XP trước có FSB 133MHz và 266MHz ( 133 x 2 ) . Kết quả với Athlon XP 3000+ với tốc độ xung nhịp 2.167GHz chạy nhanh hơn Athlon XP 2800+ dựa trên Thoroughbred mà có tốc độ xung nhịp cao hơn , 2.250 GHz . Sự xuất hiện của bộ vi xử lí Athlon 64 vào mùa thu năm 2003 làm cho CPU dựa trên nhân Barton chỉ tồn tại trong một thời gian ngắn với kiểu cuối cùng Athlon XP 3200+ . Hammer Có thể giành được vị trí hàng đầu trong lĩnh vực thiết kế bộ vi xử lí đối với Chip Athlon thế hệ thứ 7 , AMD thông báo về khả năng mở rộng của mã 64-bit hỗ trợ địa chỉ bộ nhớ vào tháng 10 năm 1999 và khác xa với cấu trúc IA-64 của Intel . Trong khi IA-64 của Intel là một cấu trúc mới , AMD tập trung tất cả những phần mở rộng đang tồn tại của cấu trúc x86 để phát triển cho tính toán 64-bit , nó cung cấp dễ dàng để người sử dụng có thể dùng những ứng dụng đang tồn tại 32-bit và những ứng dụng 64-bit nếu thấy cần thiết . Về cơ bản , thiết kế AMD x86-64 , lúc đầu có tên mã là “Sledgehammer “ và tên là “Hammer” khi tất cả các chi tiết kỹ thuật được hoàn thành vào giữa năm 2000 - mở rộng x86 bao gồm kiểu 64-bit cho cả : 64-bit địa chỉ và 64-bit dữ liệu . Bộ vi xử lí 64-bit tương lai sẽ có khả năng tự động nhận biết kiểu 32-bit hay 64-bit trong những ứng dụng tính toán phù hợp . AMD tuyên bố rằng có nhiều tính kế thừa trong tính toán 64-bit và có một số đặc điểm cao hơn IA-64 của Intel : * Hỗ trợ những ứng dụng 32-bit và 64-bit . * Công suất tiêu thụ thấp hơn và có tốc độ xung nhịp đồng hồ cao hơn. * Có khả năng sản xuất nhiều bộ vi xử lí x86-64 trên cùng một Chip. * Công nghệ Compiler mới mà không phức tạp. * Giá thành rẻ. AMD có quyền tuyên bố cấu trúc bộ vi xử lí thế hệ thứ 8 x86 đầu tiên sẽ hỗ trợ những phần mềm 32-bit x86 đang tồn tại cùng với cấu trúc hệ thống 64-bit x86-64 thực . Mục đích sự tuyên bố hiệu quả thực hiện công việc của thế hệ tiếp theo cho khách hàng ngày nay là do giải quyết được về cấu trúc bộ vi xử lí có thể thực hiện được nhiều lệnh trong một chu kì đồng hồ xung nhịp . Sự thay đổi Pipelien cơ bản của Hammer , khi so sánh với những bộ vi xử lí thế hệ trước , là một ví dụ rõ ràng nhất của thiết kế này . Phần tìm kiếm lệnh Front-end của Pipeline và phần Logic giải mã đã được chọn lọc để chuyển những gói lệnh với mức độ cao hơn từ những phần Giải mã tới Execution Schedulers . Sự hợp lí trong sự thay đổi này yêu cầu xác định lại những tầng Pipeline với mức độ cao hơn , kết quả của nó là đối với những phép tính liên quan đến số nguyên có 12 tầng và 17 tầng đối với những phép tính liên quan đến dấu phảy động . Trong tháng 8 năm 2000 AMD giới thiệu mô phỏng SimNow! , nó thiết kế những tính năng kỹ thuật cho những nhà sản xuất BIOS , những nhà sản xuất hệ điều hành , những nhà lập trình ứng dụng …để họ đưa công nghệ x86-64 vào những đoạn mã phần mềm phù hợp để cho bộ vi xử lí Hammer . AMD đầu tiên công bố kế hoạch mở rộng sản phẩm tính toán 64-bit và dự báo cuối năm 2001 sẽ phát hành . Nhưng trên thực tế tới mùa xuân năm 2003 , chúng ta mới thấy cấu trúc K8 trên dòng máy chủ với bộ vi xử lí Opteron . Vài tháng sau , AMD mới tung ra thị trường Chip 64-bit cho máy tính để bàn và máy tính xách tay. Một số tính năng trong x86-64 của AMD : * Hỗ trợ đầy đủ những số nguyên 64-bit : tất cả những thanh ghi chung GPR (general-purpose register) được mở rộng từ 32-bit thành 64-bit và tất cả những thuật toán và phép tính logic , những công việc memory-to-register và register-to-memory … hỗ trợ trực tiếp 64-bit . Những lệnh PUSH và POP trong ngăn xếp có độ rộng 8 byte , Pointer cũng có độ rộng 8 byte * Tăng thêm những thanh ghi : ngoài việc tăng tích thước GPR thì số lượng cũng được tăng thêm từ 8 trong x86-32 thành 16 . * Thanh ghi XMM tăng thêm : thanh ghi 128-bit XMM ( dùng cho những lệnh Streaming SIMD ) tăng từ 8 lên 16 . * Dung lượng địa chỉ hoá ảo lớn hơn : những bộ nhớ cấu trúc AMD64 có thể địa chỉ hoá 256 Tebibyte ( 2^48 byte ) . Trong tương lai địa chỉ hoá có thể lên tới 16 exbibyte ( 2^64 byte ) . Điều này được so sánh với 4GB cho 32-bit x86 . * Dung lượng địa chỉ hoá thực cao hơn : đối với cấu trúc AMD64 hiện thời có thể địa chỉ tới 1 tebibyte ( 2^40 byte ) và trong tương lai cho phép địa chỉ hoá tới 4 pebibyte ( 2^52 byte ) . Trogn kiểu hỗ trợ PAE (Physical Address Extension) trong kiểu 32-bit x86 cho phép địa chỉ hoá tới 64 Gibibyte . * Con trỏ lệnh liên quan đến dữ liệu truy cập : những lệnh có thể tìm kiếm đến dữ liệu liên quan trong con trỏ lệnh ( thanh ghi RIP ) . Điều này làm cho những đoạn mã ở những vị trí độc lập và thường được dùng để những thư viện được chia xẻ và đoạn mã được tải trong thời gian chạy để nâng cao hiệu quả . * Những lệnh SSE : những lệnh SSE và SSE2 được mở rộng để hỗ trợ cho 08 thanh ghi XMM mới . Athlon 64 (K8) Athlon64 có tên mã là "ClawHammer", "Newcastle", "Winchester", "Venice", and "San Diego" được AMD cho ra mắt vào tháng 9 năm 2003 là bộ vi xử lí 64-bit . Nó là bộ vi xử lí dựa trên cấu trúc AMD64 và là bộ vi xử lí thuộc thế hệ K8 đầu tiên . Có 03 kiểu khác nhau của Athlon64 : Athlon64 , Athlon64 FX và Dual-core Athlon64 X2 . Athlon64 FX là sản phẩm nhanh nhất trong kiểu Athlon64 . Athlon64FX có tốc độ xung nhịp đồng hồ cao hơn và là kiểu Single-core loại trừ dòng Athlon FX-60 , được phát hành vào tháng 1 năm 2006 . Bổ xung cho cấu trúc AMD64 , tất cả kiểu Athlon64 đều có thể chạy ứng dụng 32-bit x86 , 16-bit và mã AMD64 . Đặc điểm : Đặc điểm của Athlon64 là phần điều khiển bộ nhớ được thiết kế nằm bên trong vỏ CPU , đặc điểm này đối với những CPU x86 thế hệ trước không có . Phần điều khiển này chạy cùng với tốc độ xung nhịp bên trong CPU mà tín hiệu điều khiển đi với những cự ki ngắn hơn nên khi so sánh với giao diện NorthBridge kiểu cũ kết quả là thời gian truy cập bộ nhớ hệ thống RAM giảm đi . TLB ( Translation Lookaside Buffers ) lớn hơn , giảm thời gian tìm kiếm bên trong bộ nhớ chính của hệ thống RAM và cải thiện phần dự đoán rẽ nhánh (branch prediction ) . Làm cho tốt hơn IPC (instruction per cycle) so với bộ vi xử lí Athlon XP trước . Athlon64 cũng tích hợp công nghệ Cool’n’Quiet , khi CPU nghỉ hoặc ít sử dụng thì tốc độ xung nhịp và điện áp nuôi CPU giảm xuống . Điều này làm giảm công suất tiêu hao của CPU : với công suất lớn nhất là 89W khi giảm xuống tốc độ xung nhịp thì công suất tiêu hao là 32W hoặc 22W tuỳ theo kiểu Stepping . Hỗ trợ kỹ thuật NX bit (No Execute bit ) trong WindowsXP SP2 , Windows XP Professional x64 Edition, Windows Server 2003 x64 Edition, và Linux 2.6.8 hoặc cao hơn . Hỹ thuật này nhằm ngăn chặn những đoạn mã chương trình độc hai ( Virus , Worm …) lây lan trong hệ thống và cảnh báo cho người sử dụng . Bộ vi xử lí Athlon64 sử dụng công nghệ sản xuất 130nm và 90nm . đối với những Chip sau cùng đều xử dụng 90nm (Winchester, Venice ,San Diego) . Phần điều khiển bộ nhớ tích hợp bên trong vỏ của CPU nên không có khái niệm FSB cho bộ nhớ hệ thống. Khe cắm 754 : Athlon64 loại giá rẻ , bộ nhớ giao diện 64-bit (Single-Channel) 939 : Athlon64 loại cao cấp , Athlon64 X2 và Athlon64 FX mới hơn , bộ nhớ giao diện 128-bit (Dual-Channel). 940 : Opteron và Athlon64 FX cũ bộ nhớ giao diện 128-bit , yêu cầu DDR Socket AM2: Athlon 64/Athlon 64 FX/Athlon 64 X2/Sempron sẽ thay thế Sockets 754 và 939 trong năm 2006 . Có 940 chân ( không tương thích với Socket940 ) và sẽ là khe cắm AMD đầu tiên sử dụng bộ nhớ DDR2. Những kiểu Athlon64 FX Sledgehammer (130 nm ) * CPU-Stepping: C0, CG * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 1024 KiB, fullspeed * Hỗ trợ MMX , 3DNow!, SSE, SSE2, AMD64 * Socket 940 ,800 MHz HyperTransport (HT800) * DDR-SDRAM * VCore: 1.50/1.55 V * Công suất TDP 89 W * Phát hành : tháng 9 năm 2003 * Tốc độ xung nhịp: 2200 MHz (FX-51, C0), 2400 MHz (FX-53, C0 ,CG) Clawhammer (130 nm ) * CPU-Stepping: CG * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 1024 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, AMD64 * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.50 V * Công suất TDP : 89 Watt (FX-55:104 W) * Phát hành: tháng 6 năm 2004 * Tốc độ xung nhịp: 2400 MHz (FX-53), 2600 MHz (FX-55) San Diego (90 nm ) * CPU-Stepping: E4, E6 * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 1024 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, SSE3, AMD64, Cool'n'Quiet, NX Bit * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.35 V or 1.40 V * Công suất TDP : 104 W * Phát hành : tháng 4 năm 2005 * Tốc độ xung nhịp 2600 MHz (FX-55), 2800 MHz (FX-57) Toledo (90 nm ) Dual-core CPU * CPU-Stepping: E6 * L1-Cache: 64 + 64 KiB (Data + Instructions), per core * L2-Cache: 1024 KiB fullspeed, per core * Hỗ trợ MMX, 3DNow!, SSE, SSE2, SSE3, AMD64, Cool'n'Quiet, NX Bit * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.30 V - 1.35 V * Công suất TDP: 110 W * Phát hành: tháng 1 năm 2006 * Tốc độ xung nhịp : 2600 MHz (FX-60) Những kiểu Athlon64 Clawhammer (130 nm ) * CPU-Stepping: C0, CG * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 1024 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, AMD64, Cool'n'Quiet, NX Bit (chỉ có CG) * Socket 754, 800 MHz HyperTransport (HT800) * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.50 V * Công suất TDP: 89 W * Phát hành: tháng 9 năm 2003 * Tốc độ xung nhịp 2000 - 2600 MHz Newcastle (130 nm ) Rút gọn của kiểu Clawhammer chỉ có 512KiB L2-Cache * CPU-Stepping: CG * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 512 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, AMD64, Cool'n'Quiet, NX Bit * Socket 754, 800 MHz HyperTransport (HT800) * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.50 V * Công suất TDP 89 W * Phát hành : năm 2004 * Tốc độ xung nhịp 1800 - 2400 MHz Winchester (90 nm ) * CPU-Stepping: D0 * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 512 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, AMD64, Cool'n'Quiet, NX Bit * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.40 V * Công suất TDP: 67 W * Phát hành : năm 2004 * Tốc độ xung nhịp: 1800 - 2200 MHz Venice (90 nm ) * CPU-Stepping: E3, E6 * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 512 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, SSE3, AMD64, Cool'n'Quiet, NX Bit * Socket 754, 800 MHz HyperTransport (HT800) * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.35 V or 1.40 V * Công suất TDP 67 W * Phát hành : tháng 4 năm 2005 * Tốc độ xung nhịp: 1800 - 2400 MHz San Diego (90 nm SOI) * CPU-Stepping: E4, E6 * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 1024 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2, SSE3, AMD64, Cool'n'Quiet, NX Bit * Socket 939, 1000 MHz HyperTransport (HT1000) * VCore: 1.35 V or 1.40 V * Công suất TDP 67 W * Phát hành : tháng 4 năm 2005 * Tốc độ xung nhịp : 2200 - 2800 MHz Sempron Vào mùa hè năm 2004 , AMD thông báo về việc đưa ra thị trường bộ vi xử lí mới có tên là Sempron . Bên cạnh việc thành công khi đưa ra thị trường bộ vi xử lí giá rẻ Duron , thì Sempron là sản phẩm cạnh tranh trực tiếp Celeron D 90nm của Intel . Với sản phẩm đầu tiên Sempron dựa trên cấu trúc Athlon XP và dùng nhân Thoroughbres/Thorton . Những kiểu này dùng khe cắm Socket-A có bộ nhớ Cache L2 là 256KB , FSB là 166MHz . Về sau AMD giới thiệu Sempron 3000+ dựa trên nhân Barton có bộ nhớ Cache L2 là 512KB . Từ phần cứng kiểu này nhiều khi người sử dụng lại hiểu Sempron Socket A là việc đổi tên của bộ vi xử lí Athlon XP , chính vì thế mà AMD quyết định huỷ bỏ kiểu Sempron Socket A. Vào nửa cuối năm 2005 , AMD thêm hỗ trợ 64-bit (AMD64) vào dòng Sempron Socket 754 và được gọi là Sempron 64 để phân biệt . Từ năm 2005 đến 2006 Sempron kiểu nhân Paris/Palermo dựa vào cấu trúc Athlon64 Socket 754 . Những dòng Sempron này có hầu hết những tính năng mạnh của Athlon 64 như : phần điều khiển bộ nhớ bên trong vỏ CPU , Bus HyperTransport và NX-bit . Những kiểu SocketA Thoroughbred B/Thorton (130 nm) * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 256 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE * Socket A (EV6) * FSB 166 MHz (hoặc FSB 333) * VCore: 1.6 V * Phát hành : tháng 7 năm 2004 * Tốc độ xung nhịp: 1500 MHz - 2000 MHz (2200+ to 2800+) Barton (130 nm) * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 512 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE * Socket A (EV6) * FSB 166 MHz (hoặc FSB 333) * VCore: 1.6 V * Phát hành : tháng 9 năm 2004 * Tốc độ xung nhịp: 2000 MHz (3000+) Những kiểu Socket 754 Paris (130 nm ) * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 256 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2 * Enhanced Virus Protection (NX bit) * Tích hợp phần điều khiển bộ nhớ DDR * Socket 754, 800 MHz HyperTransport * VCore: 1.4 V * Phát hành : tháng 7 năm 2004 * Tốc độ xung nhịp: 1800 MHz (3100+) * Stepping: CG (Part No.: *AX) Palermo (90 nm ) * Early models (stepping D0) are downlabeled "Oakville" mobile Athlon64 * L1-Cache: 64 + 64 KiB (Data + Instructions) * L2-Cache: 128/256 KiB, fullspeed * Hỗ trợ MMX, 3DNow!, SSE, SSE2 * Hỗ trợ SSE3 với E3 và E6 steppings * Hỗ trợ AMD64 E6 stepping * Công nghệ Cool'n'Quiet (Sempron 3000+ và cao hơn) * Enhanced Virus Protection (NX bit) * Tích hợp phần điều khiển bộ nhớ DDR * Socket 754, 800 MHz HyperTransport * VCore: 1.4 V * Phát hành: tháng 2 năm 2005 * Tốc độ xung nhịp : 1400 - 2000 MHz o 128 KiB L2-Cache (Sempron 2600+, 3000+, 3300+) o 256 KiB L2-Cache (Sempron 2500+, 2800+, 3100+, 3400+) * Steppings: D0 (*BA), E3 (*BO), E6 (*BX) AMD K10 K10 là tên của một kiến trúc mới mà các bộ vi xử lý mới của AMD sử dụng như Phenom và Opteron được xây dựng trên lõi “Barcelona”. Trong thực tế, rất nhiều người nhiều nhầm kiến trúc K10 với “Barcelona”, trong khi đó Barcelona chỉ là một trong những CPU sẽ sử dụng kiến trúc mới này. Trong hướng dẫn này, chúng tôi sẽ giới thiệu tất cả các sản phẩm sẽ được xây dựng trên kiến trúc K10 này với dự định lâu dài. Kiến trúc K10 mới được dựa trên kiến trúc K8 (AMD64) với một số nâng cao. Chính vì vậy nếu bạn đã hiểu về kiến trúc AMD64 thì đó là một lợi thế để các bạn hiểu dễ dàng hơn kiến trúc mới này. Tuy với tên K8 và K10 nhưng AMD chưa từng phát hành kiến trúc nào có tên gọi K9, mà chỉ từ K8 nhảy lên K10. Thể hiện trong hình 1 là những nâng cao chính của kiến trúc K10 so với K8 trước đây. Hình 1: Những nâng cao của kiến trúc K10 so với K8 Những điểm chính được nâng cao ở đây là: • Khối tìm nạp nạp 32byte dữ liệu trên mỗi một chu kỳ clock từ L1 instruction cache – đây là CPU kép được xây dựng dựa trên kiến trúc K8 có thể tìm nạp trên mỗi chu kỳ. Trong các CPU của Intel được xây dựng trên kiến trúc lõi (Core), như Core 2 Duo, cũng có thao tác nạp 32byte trên mỗi chu kỳ clock. • Sử dụng đường dữ liệu bên trong 128bit. Trên các CPU trước được xây dựng trên kiến trúc K8 thì các đường dữ liệu bên trong chỉ là 64bit. Điều này là một vấn đề đối với các chỉ lệnh SSE, vì các thanh ghi SSE, được gọi là XMM có chiều dài 128bit. Chính vì vậy, khi thực thi một chỉ lệnh nào đó đã được xử lý dữ liệu 128bit thì hoạt động này phải được chia ra thành hai hoạt động 64bit. Đường dữ liệu mới 128bit đã khắc phục được điểm yếu này, làm cho việc xử lý các chỉ lệnh SSE nhanh hơn với xử lý dữ liệu 128bit so với K8. Các bộ vi xử lý của Intel được xây dựng trên kiến trúc Core (ví dụ như Core 2 Duo) cũng có các đường dữ liệu bên trong 128bit, còn các bộ vi xử lý của Intel được xây dựn

Các file đính kèm theo tài liệu này:

  • docCac cong nghe CPU hien dai.doc
Tài liệu liên quan